ACPI: Fix acpi_processor_idle and idle= boot parameters interaction
[GitHub/mt8127/android_kernel_alcatel_ttab.git] / drivers / acpi / processor_idle.c
CommitLineData
1da177e4
LT
1/*
2 * processor_idle - idle state submodule to the ACPI processor driver
3 *
4 * Copyright (C) 2001, 2002 Andy Grover <andrew.grover@intel.com>
5 * Copyright (C) 2001, 2002 Paul Diefenbaugh <paul.s.diefenbaugh@intel.com>
c5ab81ca 6 * Copyright (C) 2004, 2005 Dominik Brodowski <linux@brodo.de>
1da177e4
LT
7 * Copyright (C) 2004 Anil S Keshavamurthy <anil.s.keshavamurthy@intel.com>
8 * - Added processor hotplug support
02df8b93
VP
9 * Copyright (C) 2005 Venkatesh Pallipadi <venkatesh.pallipadi@intel.com>
10 * - Added support for C3 on SMP
1da177e4
LT
11 *
12 * ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
13 *
14 * This program is free software; you can redistribute it and/or modify
15 * it under the terms of the GNU General Public License as published by
16 * the Free Software Foundation; either version 2 of the License, or (at
17 * your option) any later version.
18 *
19 * This program is distributed in the hope that it will be useful, but
20 * WITHOUT ANY WARRANTY; without even the implied warranty of
21 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
22 * General Public License for more details.
23 *
24 * You should have received a copy of the GNU General Public License along
25 * with this program; if not, write to the Free Software Foundation, Inc.,
26 * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA.
27 *
28 * ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
29 */
30
31#include <linux/kernel.h>
32#include <linux/module.h>
33#include <linux/init.h>
34#include <linux/cpufreq.h>
35#include <linux/proc_fs.h>
36#include <linux/seq_file.h>
37#include <linux/acpi.h>
38#include <linux/dmi.h>
39#include <linux/moduleparam.h>
4e57b681 40#include <linux/sched.h> /* need_resched() */
f011e2e2 41#include <linux/pm_qos_params.h>
e9e2cdb4 42#include <linux/clockchips.h>
4f86d3a8 43#include <linux/cpuidle.h>
1da177e4 44
3434933b
TG
45/*
46 * Include the apic definitions for x86 to have the APIC timer related defines
47 * available also for UP (on SMP it gets magically included via linux/smp.h).
48 * asm/acpi.h is not an option, as it would require more include magic. Also
49 * creating an empty asm-ia64/apic.h would just trade pest vs. cholera.
50 */
51#ifdef CONFIG_X86
52#include <asm/apic.h>
53#endif
54
1da177e4
LT
55#include <asm/io.h>
56#include <asm/uaccess.h>
57
58#include <acpi/acpi_bus.h>
59#include <acpi/processor.h>
60
61#define ACPI_PROCESSOR_COMPONENT 0x01000000
62#define ACPI_PROCESSOR_CLASS "processor"
1da177e4 63#define _COMPONENT ACPI_PROCESSOR_COMPONENT
f52fd66d 64ACPI_MODULE_NAME("processor_idle");
1da177e4 65#define ACPI_PROCESSOR_FILE_POWER "power"
1da177e4 66#define US_TO_PM_TIMER_TICKS(t) ((t * (PM_TIMER_FREQUENCY/1000)) / 1000)
2aa44d05 67#define PM_TIMER_TICK_NS (1000000000ULL/PM_TIMER_FREQUENCY)
4f86d3a8 68#ifndef CONFIG_CPU_IDLE
1da177e4
LT
69#define C2_OVERHEAD 4 /* 1us (3.579 ticks per us) */
70#define C3_OVERHEAD 4 /* 1us (3.579 ticks per us) */
b6835052 71static void (*pm_idle_save) (void) __read_mostly;
4f86d3a8
LB
72#else
73#define C2_OVERHEAD 1 /* 1us */
74#define C3_OVERHEAD 1 /* 1us */
75#endif
76#define PM_TIMER_TICKS_TO_US(p) (((p) * 1000)/(PM_TIMER_FREQUENCY/1000))
1da177e4 77
4f86d3a8 78static unsigned int max_cstate __read_mostly = ACPI_PROCESSOR_MAX_POWER;
5b3f0e6c 79#ifdef CONFIG_CPU_IDLE
4f86d3a8 80module_param(max_cstate, uint, 0000);
5b3f0e6c
VP
81#else
82module_param(max_cstate, uint, 0644);
83#endif
b6835052 84static unsigned int nocst __read_mostly;
1da177e4
LT
85module_param(nocst, uint, 0000);
86
4f86d3a8 87#ifndef CONFIG_CPU_IDLE
1da177e4
LT
88/*
89 * bm_history -- bit-mask with a bit per jiffy of bus-master activity
90 * 1000 HZ: 0xFFFFFFFF: 32 jiffies = 32ms
91 * 800 HZ: 0xFFFFFFFF: 32 jiffies = 40ms
92 * 100 HZ: 0x0000000F: 4 jiffies = 40ms
93 * reduce history for more aggressive entry into C3
94 */
b6835052 95static unsigned int bm_history __read_mostly =
4be44fcd 96 (HZ >= 800 ? 0xFFFFFFFF : ((1U << (HZ / 25)) - 1));
1da177e4 97module_param(bm_history, uint, 0644);
4f86d3a8
LB
98
99static int acpi_processor_set_power_policy(struct acpi_processor *pr);
100
4963f620 101#else /* CONFIG_CPU_IDLE */
25de5718 102static unsigned int latency_factor __read_mostly = 2;
4963f620 103module_param(latency_factor, uint, 0644);
4f86d3a8 104#endif
1da177e4
LT
105
106/*
107 * IBM ThinkPad R40e crashes mysteriously when going into C2 or C3.
108 * For now disable this. Probably a bug somewhere else.
109 *
110 * To skip this limit, boot/load with a large max_cstate limit.
111 */
1855256c 112static int set_max_cstate(const struct dmi_system_id *id)
1da177e4
LT
113{
114 if (max_cstate > ACPI_PROCESSOR_MAX_POWER)
115 return 0;
116
3d35600a 117 printk(KERN_NOTICE PREFIX "%s detected - limiting to C%ld max_cstate."
4be44fcd
LB
118 " Override with \"processor.max_cstate=%d\"\n", id->ident,
119 (long)id->driver_data, ACPI_PROCESSOR_MAX_POWER + 1);
1da177e4 120
3d35600a 121 max_cstate = (long)id->driver_data;
1da177e4
LT
122
123 return 0;
124}
125
7ded5689
AR
126/* Actually this shouldn't be __cpuinitdata, would be better to fix the
127 callers to only run once -AK */
128static struct dmi_system_id __cpuinitdata processor_power_dmi_table[] = {
f831335d
BS
129 { set_max_cstate, "IBM ThinkPad R40e", {
130 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
131 DMI_MATCH(DMI_BIOS_VERSION,"1SET70WW")}, (void *)1},
876c184b
TR
132 { set_max_cstate, "IBM ThinkPad R40e", {
133 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
134 DMI_MATCH(DMI_BIOS_VERSION,"1SET60WW")}, (void *)1},
135 { set_max_cstate, "IBM ThinkPad R40e", {
136 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
137 DMI_MATCH(DMI_BIOS_VERSION,"1SET43WW") }, (void*)1},
138 { set_max_cstate, "IBM ThinkPad R40e", {
139 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
140 DMI_MATCH(DMI_BIOS_VERSION,"1SET45WW") }, (void*)1},
141 { set_max_cstate, "IBM ThinkPad R40e", {
142 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
143 DMI_MATCH(DMI_BIOS_VERSION,"1SET47WW") }, (void*)1},
144 { set_max_cstate, "IBM ThinkPad R40e", {
145 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
146 DMI_MATCH(DMI_BIOS_VERSION,"1SET50WW") }, (void*)1},
147 { set_max_cstate, "IBM ThinkPad R40e", {
148 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
149 DMI_MATCH(DMI_BIOS_VERSION,"1SET52WW") }, (void*)1},
150 { set_max_cstate, "IBM ThinkPad R40e", {
151 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
152 DMI_MATCH(DMI_BIOS_VERSION,"1SET55WW") }, (void*)1},
153 { set_max_cstate, "IBM ThinkPad R40e", {
154 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
155 DMI_MATCH(DMI_BIOS_VERSION,"1SET56WW") }, (void*)1},
156 { set_max_cstate, "IBM ThinkPad R40e", {
157 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
158 DMI_MATCH(DMI_BIOS_VERSION,"1SET59WW") }, (void*)1},
159 { set_max_cstate, "IBM ThinkPad R40e", {
160 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
161 DMI_MATCH(DMI_BIOS_VERSION,"1SET60WW") }, (void*)1},
162 { set_max_cstate, "IBM ThinkPad R40e", {
163 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
164 DMI_MATCH(DMI_BIOS_VERSION,"1SET61WW") }, (void*)1},
165 { set_max_cstate, "IBM ThinkPad R40e", {
166 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
167 DMI_MATCH(DMI_BIOS_VERSION,"1SET62WW") }, (void*)1},
168 { set_max_cstate, "IBM ThinkPad R40e", {
169 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
170 DMI_MATCH(DMI_BIOS_VERSION,"1SET64WW") }, (void*)1},
171 { set_max_cstate, "IBM ThinkPad R40e", {
172 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
173 DMI_MATCH(DMI_BIOS_VERSION,"1SET65WW") }, (void*)1},
174 { set_max_cstate, "IBM ThinkPad R40e", {
175 DMI_MATCH(DMI_BIOS_VENDOR,"IBM"),
176 DMI_MATCH(DMI_BIOS_VERSION,"1SET68WW") }, (void*)1},
177 { set_max_cstate, "Medion 41700", {
178 DMI_MATCH(DMI_BIOS_VENDOR,"Phoenix Technologies LTD"),
179 DMI_MATCH(DMI_BIOS_VERSION,"R01-A1J")}, (void *)1},
180 { set_max_cstate, "Clevo 5600D", {
181 DMI_MATCH(DMI_BIOS_VENDOR,"Phoenix Technologies LTD"),
182 DMI_MATCH(DMI_BIOS_VERSION,"SHE845M0.86C.0013.D.0302131307")},
4be44fcd 183 (void *)2},
1da177e4
LT
184 {},
185};
186
4be44fcd 187static inline u32 ticks_elapsed(u32 t1, u32 t2)
1da177e4
LT
188{
189 if (t2 >= t1)
190 return (t2 - t1);
cee324b1 191 else if (!(acpi_gbl_FADT.flags & ACPI_FADT_32BIT_TIMER))
1da177e4
LT
192 return (((0x00FFFFFF - t1) + t2) & 0x00FFFFFF);
193 else
194 return ((0xFFFFFFFF - t1) + t2);
195}
196
4f86d3a8
LB
197static inline u32 ticks_elapsed_in_us(u32 t1, u32 t2)
198{
199 if (t2 >= t1)
200 return PM_TIMER_TICKS_TO_US(t2 - t1);
201 else if (!(acpi_gbl_FADT.flags & ACPI_FADT_32BIT_TIMER))
202 return PM_TIMER_TICKS_TO_US(((0x00FFFFFF - t1) + t2) & 0x00FFFFFF);
203 else
204 return PM_TIMER_TICKS_TO_US((0xFFFFFFFF - t1) + t2);
205}
206
2e906655 207/*
208 * Callers should disable interrupts before the call and enable
209 * interrupts after return.
210 */
ddc081a1
VP
211static void acpi_safe_halt(void)
212{
213 current_thread_info()->status &= ~TS_POLLING;
214 /*
215 * TS_POLLING-cleared state must be visible before we
216 * test NEED_RESCHED:
217 */
218 smp_mb();
71e93d15 219 if (!need_resched()) {
ddc081a1 220 safe_halt();
71e93d15
VP
221 local_irq_disable();
222 }
ddc081a1
VP
223 current_thread_info()->status |= TS_POLLING;
224}
225
4f86d3a8
LB
226#ifndef CONFIG_CPU_IDLE
227
1da177e4 228static void
4be44fcd
LB
229acpi_processor_power_activate(struct acpi_processor *pr,
230 struct acpi_processor_cx *new)
1da177e4 231{
4be44fcd 232 struct acpi_processor_cx *old;
1da177e4
LT
233
234 if (!pr || !new)
235 return;
236
237 old = pr->power.state;
238
239 if (old)
240 old->promotion.count = 0;
4be44fcd 241 new->demotion.count = 0;
1da177e4
LT
242
243 /* Cleanup from old state. */
244 if (old) {
245 switch (old->type) {
246 case ACPI_STATE_C3:
247 /* Disable bus master reload */
02df8b93 248 if (new->type != ACPI_STATE_C3 && pr->flags.bm_check)
d8c71b6d 249 acpi_set_register(ACPI_BITREG_BUS_MASTER_RLD, 0);
1da177e4
LT
250 break;
251 }
252 }
253
254 /* Prepare to use new state. */
255 switch (new->type) {
256 case ACPI_STATE_C3:
257 /* Enable bus master reload */
02df8b93 258 if (old->type != ACPI_STATE_C3 && pr->flags.bm_check)
d8c71b6d 259 acpi_set_register(ACPI_BITREG_BUS_MASTER_RLD, 1);
1da177e4
LT
260 break;
261 }
262
263 pr->power.state = new;
264
265 return;
266}
267
4be44fcd 268static atomic_t c3_cpu_count;
1da177e4 269
991528d7
VP
270/* Common C-state entry for C2, C3, .. */
271static void acpi_cstate_enter(struct acpi_processor_cx *cstate)
272{
bc71bec9 273 if (cstate->entry_method == ACPI_CSTATE_FFH) {
991528d7
VP
274 /* Call into architectural FFH based C-state */
275 acpi_processor_ffh_cstate_enter(cstate);
276 } else {
277 int unused;
278 /* IO port based C-state */
279 inb(cstate->address);
280 /* Dummy wait op - must do something useless after P_LVL2 read
281 because chipsets cannot guarantee that STPCLK# signal
282 gets asserted in time to freeze execution properly. */
cee324b1 283 unused = inl(acpi_gbl_FADT.xpm_timer_block.address);
991528d7
VP
284 }
285}
4f86d3a8 286#endif /* !CONFIG_CPU_IDLE */
991528d7 287
169a0abb
TG
288#ifdef ARCH_APICTIMER_STOPS_ON_C3
289
290/*
291 * Some BIOS implementations switch to C3 in the published C2 state.
296d93cd
LT
292 * This seems to be a common problem on AMD boxen, but other vendors
293 * are affected too. We pick the most conservative approach: we assume
294 * that the local APIC stops in both C2 and C3.
169a0abb
TG
295 */
296static void acpi_timer_check_state(int state, struct acpi_processor *pr,
297 struct acpi_processor_cx *cx)
298{
299 struct acpi_processor_power *pwr = &pr->power;
e585bef8 300 u8 type = local_apic_timer_c2_ok ? ACPI_STATE_C3 : ACPI_STATE_C2;
169a0abb
TG
301
302 /*
303 * Check, if one of the previous states already marked the lapic
304 * unstable
305 */
306 if (pwr->timer_broadcast_on_state < state)
307 return;
308
e585bef8 309 if (cx->type >= type)
296d93cd 310 pr->power.timer_broadcast_on_state = state;
169a0abb
TG
311}
312
313static void acpi_propagate_timer_broadcast(struct acpi_processor *pr)
314{
e9e2cdb4
TG
315 unsigned long reason;
316
317 reason = pr->power.timer_broadcast_on_state < INT_MAX ?
318 CLOCK_EVT_NOTIFY_BROADCAST_ON : CLOCK_EVT_NOTIFY_BROADCAST_OFF;
319
320 clockevents_notify(reason, &pr->id);
e9e2cdb4
TG
321}
322
323/* Power(C) State timer broadcast control */
324static void acpi_state_timer_broadcast(struct acpi_processor *pr,
325 struct acpi_processor_cx *cx,
326 int broadcast)
327{
e9e2cdb4
TG
328 int state = cx - pr->power.states;
329
330 if (state >= pr->power.timer_broadcast_on_state) {
331 unsigned long reason;
332
333 reason = broadcast ? CLOCK_EVT_NOTIFY_BROADCAST_ENTER :
334 CLOCK_EVT_NOTIFY_BROADCAST_EXIT;
335 clockevents_notify(reason, &pr->id);
336 }
169a0abb
TG
337}
338
339#else
340
341static void acpi_timer_check_state(int state, struct acpi_processor *pr,
342 struct acpi_processor_cx *cstate) { }
343static void acpi_propagate_timer_broadcast(struct acpi_processor *pr) { }
e9e2cdb4
TG
344static void acpi_state_timer_broadcast(struct acpi_processor *pr,
345 struct acpi_processor_cx *cx,
346 int broadcast)
347{
348}
169a0abb
TG
349
350#endif
351
b04e7bdb
TG
352/*
353 * Suspend / resume control
354 */
355static int acpi_idle_suspend;
356
357int acpi_processor_suspend(struct acpi_device * device, pm_message_t state)
358{
359 acpi_idle_suspend = 1;
360 return 0;
361}
362
363int acpi_processor_resume(struct acpi_device * device)
364{
365 acpi_idle_suspend = 0;
366 return 0;
367}
368
61331168 369#if defined (CONFIG_GENERIC_TIME) && defined (CONFIG_X86)
ddb25f9a
AK
370static int tsc_halts_in_c(int state)
371{
372 switch (boot_cpu_data.x86_vendor) {
373 case X86_VENDOR_AMD:
374 /*
375 * AMD Fam10h TSC will tick in all
376 * C/P/S0/S1 states when this bit is set.
377 */
378 if (boot_cpu_has(X86_FEATURE_CONSTANT_TSC))
379 return 0;
380 /*FALL THROUGH*/
381 case X86_VENDOR_INTEL:
382 /* Several cases known where TSC halts in C2 too */
383 default:
384 return state > ACPI_STATE_C1;
385 }
386}
387#endif
388
4f86d3a8 389#ifndef CONFIG_CPU_IDLE
4be44fcd 390static void acpi_processor_idle(void)
1da177e4 391{
4be44fcd 392 struct acpi_processor *pr = NULL;
1da177e4
LT
393 struct acpi_processor_cx *cx = NULL;
394 struct acpi_processor_cx *next_state = NULL;
4be44fcd
LB
395 int sleep_ticks = 0;
396 u32 t1, t2 = 0;
1da177e4 397
1da177e4
LT
398 /*
399 * Interrupts must be disabled during bus mastering calculations and
400 * for C2/C3 transitions.
401 */
402 local_irq_disable();
403
d5a3d32a
VP
404 pr = processors[smp_processor_id()];
405 if (!pr) {
406 local_irq_enable();
407 return;
408 }
409
1da177e4
LT
410 /*
411 * Check whether we truly need to go idle, or should
412 * reschedule:
413 */
414 if (unlikely(need_resched())) {
415 local_irq_enable();
416 return;
417 }
418
419 cx = pr->power.state;
b04e7bdb 420 if (!cx || acpi_idle_suspend) {
64c7c8f8
NP
421 if (pm_idle_save)
422 pm_idle_save();
423 else
424 acpi_safe_halt();
2e906655 425
71e93d15
VP
426 if (irqs_disabled())
427 local_irq_enable();
428
64c7c8f8
NP
429 return;
430 }
1da177e4
LT
431
432 /*
433 * Check BM Activity
434 * -----------------
435 * Check for bus mastering activity (if required), record, and check
436 * for demotion.
437 */
438 if (pr->flags.bm_check) {
4be44fcd
LB
439 u32 bm_status = 0;
440 unsigned long diff = jiffies - pr->power.bm_check_timestamp;
1da177e4 441
c5ab81ca
DB
442 if (diff > 31)
443 diff = 31;
1da177e4 444
c5ab81ca 445 pr->power.bm_activity <<= diff;
1da177e4 446
d8c71b6d 447 acpi_get_register(ACPI_BITREG_BUS_MASTER_STATUS, &bm_status);
1da177e4 448 if (bm_status) {
c5ab81ca 449 pr->power.bm_activity |= 0x1;
d8c71b6d 450 acpi_set_register(ACPI_BITREG_BUS_MASTER_STATUS, 1);
1da177e4
LT
451 }
452 /*
453 * PIIX4 Erratum #18: Note that BM_STS doesn't always reflect
454 * the true state of bus mastering activity; forcing us to
455 * manually check the BMIDEA bit of each IDE channel.
456 */
457 else if (errata.piix4.bmisx) {
458 if ((inb_p(errata.piix4.bmisx + 0x02) & 0x01)
4be44fcd 459 || (inb_p(errata.piix4.bmisx + 0x0A) & 0x01))
c5ab81ca 460 pr->power.bm_activity |= 0x1;
1da177e4
LT
461 }
462
463 pr->power.bm_check_timestamp = jiffies;
464
465 /*
c4a001b1 466 * If bus mastering is or was active this jiffy, demote
1da177e4
LT
467 * to avoid a faulty transition. Note that the processor
468 * won't enter a low-power state during this call (to this
c4a001b1 469 * function) but should upon the next.
1da177e4
LT
470 *
471 * TBD: A better policy might be to fallback to the demotion
472 * state (use it for this quantum only) istead of
473 * demoting -- and rely on duration as our sole demotion
474 * qualification. This may, however, introduce DMA
475 * issues (e.g. floppy DMA transfer overrun/underrun).
476 */
c4a001b1
DB
477 if ((pr->power.bm_activity & 0x1) &&
478 cx->demotion.threshold.bm) {
1da177e4
LT
479 local_irq_enable();
480 next_state = cx->demotion.state;
481 goto end;
482 }
483 }
484
4c033552
VP
485#ifdef CONFIG_HOTPLUG_CPU
486 /*
487 * Check for P_LVL2_UP flag before entering C2 and above on
488 * an SMP system. We do it here instead of doing it at _CST/P_LVL
489 * detection phase, to work cleanly with logical CPU hotplug.
490 */
4f86d3a8 491 if ((cx->type != ACPI_STATE_C1) && (num_online_cpus() > 1) &&
cee324b1 492 !pr->flags.has_cst && !(acpi_gbl_FADT.flags & ACPI_FADT_C2_MP_SUPPORTED))
1e483969 493 cx = &pr->power.states[ACPI_STATE_C1];
4c033552 494#endif
1e483969 495
1da177e4
LT
496 /*
497 * Sleep:
498 * ------
499 * Invoke the current Cx state to put the processor to sleep.
500 */
2a298a35 501 if (cx->type == ACPI_STATE_C2 || cx->type == ACPI_STATE_C3) {
495ab9c0 502 current_thread_info()->status &= ~TS_POLLING;
0888f06a
IM
503 /*
504 * TS_POLLING-cleared state must be visible before we
505 * test NEED_RESCHED:
506 */
507 smp_mb();
2a298a35 508 if (need_resched()) {
495ab9c0 509 current_thread_info()->status |= TS_POLLING;
af2eb17b 510 local_irq_enable();
2a298a35
NP
511 return;
512 }
513 }
514
1da177e4
LT
515 switch (cx->type) {
516
517 case ACPI_STATE_C1:
518 /*
519 * Invoke C1.
520 * Use the appropriate idle routine, the one that would
521 * be used without acpi C-states.
522 */
523 if (pm_idle_save)
524 pm_idle_save();
525 else
64c7c8f8
NP
526 acpi_safe_halt();
527
1da177e4 528 /*
4be44fcd 529 * TBD: Can't get time duration while in C1, as resumes
1da177e4
LT
530 * go to an ISR rather than here. Need to instrument
531 * base interrupt handler.
2aa44d05
IM
532 *
533 * Note: the TSC better not stop in C1, sched_clock() will
534 * skew otherwise.
1da177e4
LT
535 */
536 sleep_ticks = 0xFFFFFFFF;
71e93d15
VP
537 if (irqs_disabled())
538 local_irq_enable();
539
1da177e4
LT
540 break;
541
542 case ACPI_STATE_C2:
543 /* Get start time (ticks) */
cee324b1 544 t1 = inl(acpi_gbl_FADT.xpm_timer_block.address);
2aa44d05
IM
545 /* Tell the scheduler that we are going deep-idle: */
546 sched_clock_idle_sleep_event();
1da177e4 547 /* Invoke C2 */
e9e2cdb4 548 acpi_state_timer_broadcast(pr, cx, 1);
991528d7 549 acpi_cstate_enter(cx);
1da177e4 550 /* Get end time (ticks) */
cee324b1 551 t2 = inl(acpi_gbl_FADT.xpm_timer_block.address);
539eb11e 552
61331168 553#if defined (CONFIG_GENERIC_TIME) && defined (CONFIG_X86)
539eb11e 554 /* TSC halts in C2, so notify users */
ddb25f9a
AK
555 if (tsc_halts_in_c(ACPI_STATE_C2))
556 mark_tsc_unstable("possible TSC halt in C2");
539eb11e 557#endif
2aa44d05
IM
558 /* Compute time (ticks) that we were actually asleep */
559 sleep_ticks = ticks_elapsed(t1, t2);
560
561 /* Tell the scheduler how much we idled: */
562 sched_clock_idle_wakeup_event(sleep_ticks*PM_TIMER_TICK_NS);
563
1da177e4
LT
564 /* Re-enable interrupts */
565 local_irq_enable();
2aa44d05
IM
566 /* Do not account our idle-switching overhead: */
567 sleep_ticks -= cx->latency_ticks + C2_OVERHEAD;
568
495ab9c0 569 current_thread_info()->status |= TS_POLLING;
e9e2cdb4 570 acpi_state_timer_broadcast(pr, cx, 0);
1da177e4
LT
571 break;
572
573 case ACPI_STATE_C3:
bde6f5f5 574 acpi_unlazy_tlb(smp_processor_id());
e17bcb43
TG
575 /*
576 * Must be done before busmaster disable as we might
577 * need to access HPET !
578 */
579 acpi_state_timer_broadcast(pr, cx, 1);
18eab855
VP
580 /*
581 * disable bus master
582 * bm_check implies we need ARB_DIS
583 * !bm_check implies we need cache flush
584 * bm_control implies whether we can do ARB_DIS
585 *
586 * That leaves a case where bm_check is set and bm_control is
587 * not set. In that case we cannot do much, we enter C3
588 * without doing anything.
589 */
590 if (pr->flags.bm_check && pr->flags.bm_control) {
02df8b93 591 if (atomic_inc_return(&c3_cpu_count) ==
4be44fcd 592 num_online_cpus()) {
02df8b93
VP
593 /*
594 * All CPUs are trying to go to C3
595 * Disable bus master arbitration
596 */
d8c71b6d 597 acpi_set_register(ACPI_BITREG_ARB_DISABLE, 1);
02df8b93 598 }
18eab855 599 } else if (!pr->flags.bm_check) {
02df8b93
VP
600 /* SMP with no shared cache... Invalidate cache */
601 ACPI_FLUSH_CPU_CACHE();
602 }
4be44fcd 603
1da177e4 604 /* Get start time (ticks) */
cee324b1 605 t1 = inl(acpi_gbl_FADT.xpm_timer_block.address);
1da177e4 606 /* Invoke C3 */
2aa44d05
IM
607 /* Tell the scheduler that we are going deep-idle: */
608 sched_clock_idle_sleep_event();
991528d7 609 acpi_cstate_enter(cx);
1da177e4 610 /* Get end time (ticks) */
cee324b1 611 t2 = inl(acpi_gbl_FADT.xpm_timer_block.address);
18eab855 612 if (pr->flags.bm_check && pr->flags.bm_control) {
02df8b93
VP
613 /* Enable bus master arbitration */
614 atomic_dec(&c3_cpu_count);
d8c71b6d 615 acpi_set_register(ACPI_BITREG_ARB_DISABLE, 0);
02df8b93
VP
616 }
617
61331168 618#if defined (CONFIG_GENERIC_TIME) && defined (CONFIG_X86)
539eb11e 619 /* TSC halts in C3, so notify users */
ddb25f9a
AK
620 if (tsc_halts_in_c(ACPI_STATE_C3))
621 mark_tsc_unstable("TSC halts in C3");
539eb11e 622#endif
2aa44d05
IM
623 /* Compute time (ticks) that we were actually asleep */
624 sleep_ticks = ticks_elapsed(t1, t2);
625 /* Tell the scheduler how much we idled: */
626 sched_clock_idle_wakeup_event(sleep_ticks*PM_TIMER_TICK_NS);
627
1da177e4
LT
628 /* Re-enable interrupts */
629 local_irq_enable();
2aa44d05
IM
630 /* Do not account our idle-switching overhead: */
631 sleep_ticks -= cx->latency_ticks + C3_OVERHEAD;
632
495ab9c0 633 current_thread_info()->status |= TS_POLLING;
e9e2cdb4 634 acpi_state_timer_broadcast(pr, cx, 0);
1da177e4
LT
635 break;
636
637 default:
638 local_irq_enable();
639 return;
640 }
a3c6598f
DB
641 cx->usage++;
642 if ((cx->type != ACPI_STATE_C1) && (sleep_ticks > 0))
643 cx->time += sleep_ticks;
1da177e4
LT
644
645 next_state = pr->power.state;
646
1e483969
DSL
647#ifdef CONFIG_HOTPLUG_CPU
648 /* Don't do promotion/demotion */
649 if ((cx->type == ACPI_STATE_C1) && (num_online_cpus() > 1) &&
cee324b1 650 !pr->flags.has_cst && !(acpi_gbl_FADT.flags & ACPI_FADT_C2_MP_SUPPORTED)) {
1e483969
DSL
651 next_state = cx;
652 goto end;
653 }
654#endif
655
1da177e4
LT
656 /*
657 * Promotion?
658 * ----------
659 * Track the number of longs (time asleep is greater than threshold)
660 * and promote when the count threshold is reached. Note that bus
661 * mastering activity may prevent promotions.
662 * Do not promote above max_cstate.
663 */
664 if (cx->promotion.state &&
665 ((cx->promotion.state - pr->power.states) <= max_cstate)) {
5c87579e 666 if (sleep_ticks > cx->promotion.threshold.ticks &&
f011e2e2
MG
667 cx->promotion.state->latency <=
668 pm_qos_requirement(PM_QOS_CPU_DMA_LATENCY)) {
1da177e4 669 cx->promotion.count++;
4be44fcd
LB
670 cx->demotion.count = 0;
671 if (cx->promotion.count >=
672 cx->promotion.threshold.count) {
1da177e4 673 if (pr->flags.bm_check) {
4be44fcd
LB
674 if (!
675 (pr->power.bm_activity & cx->
676 promotion.threshold.bm)) {
677 next_state =
678 cx->promotion.state;
1da177e4
LT
679 goto end;
680 }
4be44fcd 681 } else {
1da177e4
LT
682 next_state = cx->promotion.state;
683 goto end;
684 }
685 }
686 }
687 }
688
689 /*
690 * Demotion?
691 * ---------
692 * Track the number of shorts (time asleep is less than time threshold)
693 * and demote when the usage threshold is reached.
694 */
695 if (cx->demotion.state) {
696 if (sleep_ticks < cx->demotion.threshold.ticks) {
697 cx->demotion.count++;
698 cx->promotion.count = 0;
699 if (cx->demotion.count >= cx->demotion.threshold.count) {
700 next_state = cx->demotion.state;
701 goto end;
702 }
703 }
704 }
705
4be44fcd 706 end:
1da177e4
LT
707 /*
708 * Demote if current state exceeds max_cstate
5c87579e 709 * or if the latency of the current state is unacceptable
1da177e4 710 */
5c87579e 711 if ((pr->power.state - pr->power.states) > max_cstate ||
f011e2e2
MG
712 pr->power.state->latency >
713 pm_qos_requirement(PM_QOS_CPU_DMA_LATENCY)) {
1da177e4
LT
714 if (cx->demotion.state)
715 next_state = cx->demotion.state;
716 }
717
718 /*
719 * New Cx State?
720 * -------------
721 * If we're going to start using a new Cx state we must clean up
722 * from the previous and prepare to use the new.
723 */
724 if (next_state != pr->power.state)
725 acpi_processor_power_activate(pr, next_state);
1da177e4
LT
726}
727
4be44fcd 728static int acpi_processor_set_power_policy(struct acpi_processor *pr)
1da177e4
LT
729{
730 unsigned int i;
731 unsigned int state_is_set = 0;
732 struct acpi_processor_cx *lower = NULL;
733 struct acpi_processor_cx *higher = NULL;
734 struct acpi_processor_cx *cx;
735
1da177e4
LT
736
737 if (!pr)
d550d98d 738 return -EINVAL;
1da177e4
LT
739
740 /*
741 * This function sets the default Cx state policy (OS idle handler).
742 * Our scheme is to promote quickly to C2 but more conservatively
743 * to C3. We're favoring C2 for its characteristics of low latency
744 * (quick response), good power savings, and ability to allow bus
745 * mastering activity. Note that the Cx state policy is completely
746 * customizable and can be altered dynamically.
747 */
748
749 /* startup state */
4be44fcd 750 for (i = 1; i < ACPI_PROCESSOR_MAX_POWER; i++) {
1da177e4
LT
751 cx = &pr->power.states[i];
752 if (!cx->valid)
753 continue;
754
755 if (!state_is_set)
756 pr->power.state = cx;
757 state_is_set++;
758 break;
4be44fcd 759 }
1da177e4
LT
760
761 if (!state_is_set)
d550d98d 762 return -ENODEV;
1da177e4
LT
763
764 /* demotion */
4be44fcd 765 for (i = 1; i < ACPI_PROCESSOR_MAX_POWER; i++) {
1da177e4
LT
766 cx = &pr->power.states[i];
767 if (!cx->valid)
768 continue;
769
770 if (lower) {
771 cx->demotion.state = lower;
772 cx->demotion.threshold.ticks = cx->latency_ticks;
773 cx->demotion.threshold.count = 1;
774 if (cx->type == ACPI_STATE_C3)
775 cx->demotion.threshold.bm = bm_history;
776 }
777
778 lower = cx;
779 }
780
781 /* promotion */
782 for (i = (ACPI_PROCESSOR_MAX_POWER - 1); i > 0; i--) {
783 cx = &pr->power.states[i];
784 if (!cx->valid)
785 continue;
786
787 if (higher) {
4be44fcd 788 cx->promotion.state = higher;
1da177e4
LT
789 cx->promotion.threshold.ticks = cx->latency_ticks;
790 if (cx->type >= ACPI_STATE_C2)
791 cx->promotion.threshold.count = 4;
792 else
793 cx->promotion.threshold.count = 10;
794 if (higher->type == ACPI_STATE_C3)
795 cx->promotion.threshold.bm = bm_history;
796 }
797
798 higher = cx;
799 }
800
d550d98d 801 return 0;
1da177e4 802}
4f86d3a8 803#endif /* !CONFIG_CPU_IDLE */
1da177e4 804
4be44fcd 805static int acpi_processor_get_power_info_fadt(struct acpi_processor *pr)
1da177e4 806{
1da177e4
LT
807
808 if (!pr)
d550d98d 809 return -EINVAL;
1da177e4
LT
810
811 if (!pr->pblk)
d550d98d 812 return -ENODEV;
1da177e4 813
1da177e4 814 /* if info is obtained from pblk/fadt, type equals state */
1da177e4
LT
815 pr->power.states[ACPI_STATE_C2].type = ACPI_STATE_C2;
816 pr->power.states[ACPI_STATE_C3].type = ACPI_STATE_C3;
817
4c033552
VP
818#ifndef CONFIG_HOTPLUG_CPU
819 /*
820 * Check for P_LVL2_UP flag before entering C2 and above on
4f86d3a8 821 * an SMP system.
4c033552 822 */
ad71860a 823 if ((num_online_cpus() > 1) &&
cee324b1 824 !(acpi_gbl_FADT.flags & ACPI_FADT_C2_MP_SUPPORTED))
d550d98d 825 return -ENODEV;
4c033552
VP
826#endif
827
1da177e4
LT
828 /* determine C2 and C3 address from pblk */
829 pr->power.states[ACPI_STATE_C2].address = pr->pblk + 4;
830 pr->power.states[ACPI_STATE_C3].address = pr->pblk + 5;
831
832 /* determine latencies from FADT */
cee324b1
AS
833 pr->power.states[ACPI_STATE_C2].latency = acpi_gbl_FADT.C2latency;
834 pr->power.states[ACPI_STATE_C3].latency = acpi_gbl_FADT.C3latency;
1da177e4
LT
835
836 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
837 "lvl2[0x%08x] lvl3[0x%08x]\n",
838 pr->power.states[ACPI_STATE_C2].address,
839 pr->power.states[ACPI_STATE_C3].address));
840
d550d98d 841 return 0;
1da177e4
LT
842}
843
991528d7 844static int acpi_processor_get_power_info_default(struct acpi_processor *pr)
acf05f4b 845{
991528d7
VP
846 if (!pr->power.states[ACPI_STATE_C1].valid) {
847 /* set the first C-State to C1 */
848 /* all processors need to support C1 */
849 pr->power.states[ACPI_STATE_C1].type = ACPI_STATE_C1;
850 pr->power.states[ACPI_STATE_C1].valid = 1;
0fda6b40 851 pr->power.states[ACPI_STATE_C1].entry_method = ACPI_CSTATE_HALT;
991528d7
VP
852 }
853 /* the C0 state only exists as a filler in our array */
acf05f4b 854 pr->power.states[ACPI_STATE_C0].valid = 1;
d550d98d 855 return 0;
acf05f4b
VP
856}
857
4be44fcd 858static int acpi_processor_get_power_info_cst(struct acpi_processor *pr)
1da177e4 859{
4be44fcd
LB
860 acpi_status status = 0;
861 acpi_integer count;
cf824788 862 int current_count;
4be44fcd
LB
863 int i;
864 struct acpi_buffer buffer = { ACPI_ALLOCATE_BUFFER, NULL };
865 union acpi_object *cst;
1da177e4 866
1da177e4 867
1da177e4 868 if (nocst)
d550d98d 869 return -ENODEV;
1da177e4 870
991528d7 871 current_count = 0;
1da177e4
LT
872
873 status = acpi_evaluate_object(pr->handle, "_CST", NULL, &buffer);
874 if (ACPI_FAILURE(status)) {
875 ACPI_DEBUG_PRINT((ACPI_DB_INFO, "No _CST, giving up\n"));
d550d98d 876 return -ENODEV;
4be44fcd 877 }
1da177e4 878
50dd0969 879 cst = buffer.pointer;
1da177e4
LT
880
881 /* There must be at least 2 elements */
882 if (!cst || (cst->type != ACPI_TYPE_PACKAGE) || cst->package.count < 2) {
6468463a 883 printk(KERN_ERR PREFIX "not enough elements in _CST\n");
1da177e4
LT
884 status = -EFAULT;
885 goto end;
886 }
887
888 count = cst->package.elements[0].integer.value;
889
890 /* Validate number of power states. */
891 if (count < 1 || count != cst->package.count - 1) {
6468463a 892 printk(KERN_ERR PREFIX "count given by _CST is not valid\n");
1da177e4
LT
893 status = -EFAULT;
894 goto end;
895 }
896
1da177e4
LT
897 /* Tell driver that at least _CST is supported. */
898 pr->flags.has_cst = 1;
899
900 for (i = 1; i <= count; i++) {
901 union acpi_object *element;
902 union acpi_object *obj;
903 struct acpi_power_register *reg;
904 struct acpi_processor_cx cx;
905
906 memset(&cx, 0, sizeof(cx));
907
50dd0969 908 element = &(cst->package.elements[i]);
1da177e4
LT
909 if (element->type != ACPI_TYPE_PACKAGE)
910 continue;
911
912 if (element->package.count != 4)
913 continue;
914
50dd0969 915 obj = &(element->package.elements[0]);
1da177e4
LT
916
917 if (obj->type != ACPI_TYPE_BUFFER)
918 continue;
919
4be44fcd 920 reg = (struct acpi_power_register *)obj->buffer.pointer;
1da177e4
LT
921
922 if (reg->space_id != ACPI_ADR_SPACE_SYSTEM_IO &&
4be44fcd 923 (reg->space_id != ACPI_ADR_SPACE_FIXED_HARDWARE))
1da177e4
LT
924 continue;
925
1da177e4 926 /* There should be an easy way to extract an integer... */
50dd0969 927 obj = &(element->package.elements[1]);
1da177e4
LT
928 if (obj->type != ACPI_TYPE_INTEGER)
929 continue;
930
931 cx.type = obj->integer.value;
991528d7
VP
932 /*
933 * Some buggy BIOSes won't list C1 in _CST -
934 * Let acpi_processor_get_power_info_default() handle them later
935 */
936 if (i == 1 && cx.type != ACPI_STATE_C1)
937 current_count++;
938
939 cx.address = reg->address;
940 cx.index = current_count + 1;
941
bc71bec9 942 cx.entry_method = ACPI_CSTATE_SYSTEMIO;
991528d7
VP
943 if (reg->space_id == ACPI_ADR_SPACE_FIXED_HARDWARE) {
944 if (acpi_processor_ffh_cstate_probe
945 (pr->id, &cx, reg) == 0) {
bc71bec9 946 cx.entry_method = ACPI_CSTATE_FFH;
947 } else if (cx.type == ACPI_STATE_C1) {
991528d7
VP
948 /*
949 * C1 is a special case where FIXED_HARDWARE
950 * can be handled in non-MWAIT way as well.
951 * In that case, save this _CST entry info.
991528d7
VP
952 * Otherwise, ignore this info and continue.
953 */
bc71bec9 954 cx.entry_method = ACPI_CSTATE_HALT;
4fcb2fcd 955 snprintf(cx.desc, ACPI_CX_DESC_LEN, "ACPI HLT");
bc71bec9 956 } else {
991528d7
VP
957 continue;
958 }
4fcb2fcd
VP
959 } else {
960 snprintf(cx.desc, ACPI_CX_DESC_LEN, "ACPI IOPORT 0x%x",
961 cx.address);
991528d7 962 }
1da177e4 963
0fda6b40
VP
964 if (cx.type == ACPI_STATE_C1) {
965 cx.valid = 1;
966 }
4fcb2fcd 967
50dd0969 968 obj = &(element->package.elements[2]);
1da177e4
LT
969 if (obj->type != ACPI_TYPE_INTEGER)
970 continue;
971
972 cx.latency = obj->integer.value;
973
50dd0969 974 obj = &(element->package.elements[3]);
1da177e4
LT
975 if (obj->type != ACPI_TYPE_INTEGER)
976 continue;
977
978 cx.power = obj->integer.value;
979
cf824788
JM
980 current_count++;
981 memcpy(&(pr->power.states[current_count]), &cx, sizeof(cx));
982
983 /*
984 * We support total ACPI_PROCESSOR_MAX_POWER - 1
985 * (From 1 through ACPI_PROCESSOR_MAX_POWER - 1)
986 */
987 if (current_count >= (ACPI_PROCESSOR_MAX_POWER - 1)) {
988 printk(KERN_WARNING
989 "Limiting number of power states to max (%d)\n",
990 ACPI_PROCESSOR_MAX_POWER);
991 printk(KERN_WARNING
992 "Please increase ACPI_PROCESSOR_MAX_POWER if needed.\n");
993 break;
994 }
1da177e4
LT
995 }
996
4be44fcd 997 ACPI_DEBUG_PRINT((ACPI_DB_INFO, "Found %d power states\n",
cf824788 998 current_count));
1da177e4
LT
999
1000 /* Validate number of power states discovered */
cf824788 1001 if (current_count < 2)
6d93c648 1002 status = -EFAULT;
1da177e4 1003
4be44fcd 1004 end:
02438d87 1005 kfree(buffer.pointer);
1da177e4 1006
d550d98d 1007 return status;
1da177e4
LT
1008}
1009
1da177e4
LT
1010static void acpi_processor_power_verify_c2(struct acpi_processor_cx *cx)
1011{
1da177e4
LT
1012
1013 if (!cx->address)
d550d98d 1014 return;
1da177e4
LT
1015
1016 /*
1017 * C2 latency must be less than or equal to 100
1018 * microseconds.
1019 */
1020 else if (cx->latency > ACPI_PROCESSOR_MAX_C2_LATENCY) {
1021 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
4be44fcd 1022 "latency too large [%d]\n", cx->latency));
d550d98d 1023 return;
1da177e4
LT
1024 }
1025
1da177e4
LT
1026 /*
1027 * Otherwise we've met all of our C2 requirements.
1028 * Normalize the C2 latency to expidite policy
1029 */
1030 cx->valid = 1;
4f86d3a8
LB
1031
1032#ifndef CONFIG_CPU_IDLE
1da177e4 1033 cx->latency_ticks = US_TO_PM_TIMER_TICKS(cx->latency);
4f86d3a8
LB
1034#else
1035 cx->latency_ticks = cx->latency;
1036#endif
1da177e4 1037
d550d98d 1038 return;
1da177e4
LT
1039}
1040
4be44fcd
LB
1041static void acpi_processor_power_verify_c3(struct acpi_processor *pr,
1042 struct acpi_processor_cx *cx)
1da177e4 1043{
02df8b93
VP
1044 static int bm_check_flag;
1045
1da177e4
LT
1046
1047 if (!cx->address)
d550d98d 1048 return;
1da177e4
LT
1049
1050 /*
1051 * C3 latency must be less than or equal to 1000
1052 * microseconds.
1053 */
1054 else if (cx->latency > ACPI_PROCESSOR_MAX_C3_LATENCY) {
1055 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
4be44fcd 1056 "latency too large [%d]\n", cx->latency));
d550d98d 1057 return;
1da177e4
LT
1058 }
1059
1da177e4
LT
1060 /*
1061 * PIIX4 Erratum #18: We don't support C3 when Type-F (fast)
1062 * DMA transfers are used by any ISA device to avoid livelock.
1063 * Note that we could disable Type-F DMA (as recommended by
1064 * the erratum), but this is known to disrupt certain ISA
1065 * devices thus we take the conservative approach.
1066 */
1067 else if (errata.piix4.fdma) {
1068 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
4be44fcd 1069 "C3 not supported on PIIX4 with Type-F DMA\n"));
d550d98d 1070 return;
1da177e4
LT
1071 }
1072
02df8b93
VP
1073 /* All the logic here assumes flags.bm_check is same across all CPUs */
1074 if (!bm_check_flag) {
1075 /* Determine whether bm_check is needed based on CPU */
1076 acpi_processor_power_init_bm_check(&(pr->flags), pr->id);
1077 bm_check_flag = pr->flags.bm_check;
1078 } else {
1079 pr->flags.bm_check = bm_check_flag;
1080 }
1081
1082 if (pr->flags.bm_check) {
02df8b93 1083 if (!pr->flags.bm_control) {
ed3110ef
VP
1084 if (pr->flags.has_cst != 1) {
1085 /* bus mastering control is necessary */
1086 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
1087 "C3 support requires BM control\n"));
1088 return;
1089 } else {
1090 /* Here we enter C3 without bus mastering */
1091 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
1092 "C3 support without BM control\n"));
1093 }
02df8b93
VP
1094 }
1095 } else {
02df8b93
VP
1096 /*
1097 * WBINVD should be set in fadt, for C3 state to be
1098 * supported on when bm_check is not required.
1099 */
cee324b1 1100 if (!(acpi_gbl_FADT.flags & ACPI_FADT_WBINVD)) {
02df8b93 1101 ACPI_DEBUG_PRINT((ACPI_DB_INFO,
4be44fcd
LB
1102 "Cache invalidation should work properly"
1103 " for C3 to be enabled on SMP systems\n"));
d550d98d 1104 return;
02df8b93 1105 }
d8c71b6d 1106 acpi_set_register(ACPI_BITREG_BUS_MASTER_RLD, 0);
02df8b93
VP
1107 }
1108
1da177e4
LT
1109 /*
1110 * Otherwise we've met all of our C3 requirements.
1111 * Normalize the C3 latency to expidite policy. Enable
1112 * checking of bus mastering status (bm_check) so we can
1113 * use this in our C3 policy
1114 */
1115 cx->valid = 1;
4f86d3a8
LB
1116
1117#ifndef CONFIG_CPU_IDLE
1da177e4 1118 cx->latency_ticks = US_TO_PM_TIMER_TICKS(cx->latency);
4f86d3a8
LB
1119#else
1120 cx->latency_ticks = cx->latency;
1121#endif
1da177e4 1122
d550d98d 1123 return;
1da177e4
LT
1124}
1125
1da177e4
LT
1126static int acpi_processor_power_verify(struct acpi_processor *pr)
1127{
1128 unsigned int i;
1129 unsigned int working = 0;
6eb0a0fd 1130
169a0abb 1131 pr->power.timer_broadcast_on_state = INT_MAX;
6eb0a0fd 1132
4be44fcd 1133 for (i = 1; i < ACPI_PROCESSOR_MAX_POWER; i++) {
1da177e4
LT
1134 struct acpi_processor_cx *cx = &pr->power.states[i];
1135
1136 switch (cx->type) {
1137 case ACPI_STATE_C1:
1138 cx->valid = 1;
1139 break;
1140
1141 case ACPI_STATE_C2:
1142 acpi_processor_power_verify_c2(cx);
296d93cd 1143 if (cx->valid)
169a0abb 1144 acpi_timer_check_state(i, pr, cx);
1da177e4
LT
1145 break;
1146
1147 case ACPI_STATE_C3:
1148 acpi_processor_power_verify_c3(pr, cx);
296d93cd 1149 if (cx->valid)
169a0abb 1150 acpi_timer_check_state(i, pr, cx);
1da177e4
LT
1151 break;
1152 }
1153
1154 if (cx->valid)
1155 working++;
1156 }
bd663347 1157
169a0abb 1158 acpi_propagate_timer_broadcast(pr);
1da177e4
LT
1159
1160 return (working);
1161}
1162
4be44fcd 1163static int acpi_processor_get_power_info(struct acpi_processor *pr)
1da177e4
LT
1164{
1165 unsigned int i;
1166 int result;
1167
1da177e4
LT
1168
1169 /* NOTE: the idle thread may not be running while calling
1170 * this function */
1171
991528d7
VP
1172 /* Zero initialize all the C-states info. */
1173 memset(pr->power.states, 0, sizeof(pr->power.states));
1174
1da177e4 1175 result = acpi_processor_get_power_info_cst(pr);
6d93c648 1176 if (result == -ENODEV)
c5a114f1 1177 result = acpi_processor_get_power_info_fadt(pr);
6d93c648 1178
991528d7
VP
1179 if (result)
1180 return result;
1181
1182 acpi_processor_get_power_info_default(pr);
1183
cf824788 1184 pr->power.count = acpi_processor_power_verify(pr);
1da177e4 1185
4f86d3a8 1186#ifndef CONFIG_CPU_IDLE
1da177e4
LT
1187 /*
1188 * Set Default Policy
1189 * ------------------
1190 * Now that we know which states are supported, set the default
1191 * policy. Note that this policy can be changed dynamically
1192 * (e.g. encourage deeper sleeps to conserve battery life when
1193 * not on AC).
1194 */
1195 result = acpi_processor_set_power_policy(pr);
1196 if (result)
d550d98d 1197 return result;
4f86d3a8 1198#endif
1da177e4
LT
1199
1200 /*
1201 * if one state of type C2 or C3 is available, mark this
1202 * CPU as being "idle manageable"
1203 */
1204 for (i = 1; i < ACPI_PROCESSOR_MAX_POWER; i++) {
acf05f4b 1205 if (pr->power.states[i].valid) {
1da177e4 1206 pr->power.count = i;
2203d6ed
LT
1207 if (pr->power.states[i].type >= ACPI_STATE_C2)
1208 pr->flags.power = 1;
acf05f4b 1209 }
1da177e4
LT
1210 }
1211
d550d98d 1212 return 0;
1da177e4
LT
1213}
1214
1da177e4
LT
1215static int acpi_processor_power_seq_show(struct seq_file *seq, void *offset)
1216{
50dd0969 1217 struct acpi_processor *pr = seq->private;
4be44fcd 1218 unsigned int i;
1da177e4 1219
1da177e4
LT
1220
1221 if (!pr)
1222 goto end;
1223
1224 seq_printf(seq, "active state: C%zd\n"
4be44fcd 1225 "max_cstate: C%d\n"
5c87579e
AV
1226 "bus master activity: %08x\n"
1227 "maximum allowed latency: %d usec\n",
4be44fcd 1228 pr->power.state ? pr->power.state - pr->power.states : 0,
5c87579e 1229 max_cstate, (unsigned)pr->power.bm_activity,
f011e2e2 1230 pm_qos_requirement(PM_QOS_CPU_DMA_LATENCY));
1da177e4
LT
1231
1232 seq_puts(seq, "states:\n");
1233
1234 for (i = 1; i <= pr->power.count; i++) {
1235 seq_printf(seq, " %cC%d: ",
4be44fcd
LB
1236 (&pr->power.states[i] ==
1237 pr->power.state ? '*' : ' '), i);
1da177e4
LT
1238
1239 if (!pr->power.states[i].valid) {
1240 seq_puts(seq, "<not supported>\n");
1241 continue;
1242 }
1243
1244 switch (pr->power.states[i].type) {
1245 case ACPI_STATE_C1:
1246 seq_printf(seq, "type[C1] ");
1247 break;
1248 case ACPI_STATE_C2:
1249 seq_printf(seq, "type[C2] ");
1250 break;
1251 case ACPI_STATE_C3:
1252 seq_printf(seq, "type[C3] ");
1253 break;
1254 default:
1255 seq_printf(seq, "type[--] ");
1256 break;
1257 }
1258
1259 if (pr->power.states[i].promotion.state)
1260 seq_printf(seq, "promotion[C%zd] ",
4be44fcd
LB
1261 (pr->power.states[i].promotion.state -
1262 pr->power.states));
1da177e4
LT
1263 else
1264 seq_puts(seq, "promotion[--] ");
1265
1266 if (pr->power.states[i].demotion.state)
1267 seq_printf(seq, "demotion[C%zd] ",
4be44fcd
LB
1268 (pr->power.states[i].demotion.state -
1269 pr->power.states));
1da177e4
LT
1270 else
1271 seq_puts(seq, "demotion[--] ");
1272
a3c6598f 1273 seq_printf(seq, "latency[%03d] usage[%08d] duration[%020llu]\n",
4be44fcd 1274 pr->power.states[i].latency,
a3c6598f 1275 pr->power.states[i].usage,
b0b7eaaf 1276 (unsigned long long)pr->power.states[i].time);
1da177e4
LT
1277 }
1278
4be44fcd 1279 end:
d550d98d 1280 return 0;
1da177e4
LT
1281}
1282
1283static int acpi_processor_power_open_fs(struct inode *inode, struct file *file)
1284{
1285 return single_open(file, acpi_processor_power_seq_show,
4be44fcd 1286 PDE(inode)->data);
1da177e4
LT
1287}
1288
d7508032 1289static const struct file_operations acpi_processor_power_fops = {
4be44fcd
LB
1290 .open = acpi_processor_power_open_fs,
1291 .read = seq_read,
1292 .llseek = seq_lseek,
1293 .release = single_release,
1da177e4
LT
1294};
1295
4f86d3a8
LB
1296#ifndef CONFIG_CPU_IDLE
1297
1298int acpi_processor_cst_has_changed(struct acpi_processor *pr)
1299{
1300 int result = 0;
1301
36a91358
VP
1302 if (boot_option_idle_override)
1303 return 0;
4f86d3a8
LB
1304
1305 if (!pr)
1306 return -EINVAL;
1307
1308 if (nocst) {
1309 return -ENODEV;
1310 }
1311
1312 if (!pr->flags.power_setup_done)
1313 return -ENODEV;
1314
1315 /* Fall back to the default idle loop */
1316 pm_idle = pm_idle_save;
1317 synchronize_sched(); /* Relies on interrupts forcing exit from idle. */
1318
1319 pr->flags.power = 0;
1320 result = acpi_processor_get_power_info(pr);
1321 if ((pr->flags.power == 1) && (pr->flags.power_setup_done))
1322 pm_idle = acpi_processor_idle;
1323
1324 return result;
1325}
1326
1fec74a9 1327#ifdef CONFIG_SMP
5c87579e
AV
1328static void smp_callback(void *v)
1329{
1330 /* we already woke the CPU up, nothing more to do */
1331}
1332
1333/*
1334 * This function gets called when a part of the kernel has a new latency
1335 * requirement. This means we need to get all processors out of their C-state,
1336 * and then recalculate a new suitable C-state. Just do a cross-cpu IPI; that
1337 * wakes them all right up.
1338 */
1339static int acpi_processor_latency_notify(struct notifier_block *b,
1340 unsigned long l, void *v)
1341{
1342 smp_call_function(smp_callback, NULL, 0, 1);
1343 return NOTIFY_OK;
1344}
1345
1346static struct notifier_block acpi_processor_latency_notifier = {
1347 .notifier_call = acpi_processor_latency_notify,
1348};
4f86d3a8
LB
1349
1350#endif
1351
1352#else /* CONFIG_CPU_IDLE */
1353
1354/**
1355 * acpi_idle_bm_check - checks if bus master activity was detected
1356 */
1357static int acpi_idle_bm_check(void)
1358{
1359 u32 bm_status = 0;
1360
1361 acpi_get_register(ACPI_BITREG_BUS_MASTER_STATUS, &bm_status);
1362 if (bm_status)
1363 acpi_set_register(ACPI_BITREG_BUS_MASTER_STATUS, 1);
1364 /*
1365 * PIIX4 Erratum #18: Note that BM_STS doesn't always reflect
1366 * the true state of bus mastering activity; forcing us to
1367 * manually check the BMIDEA bit of each IDE channel.
1368 */
1369 else if (errata.piix4.bmisx) {
1370 if ((inb_p(errata.piix4.bmisx + 0x02) & 0x01)
1371 || (inb_p(errata.piix4.bmisx + 0x0A) & 0x01))
1372 bm_status = 1;
1373 }
1374 return bm_status;
1375}
1376
1377/**
1378 * acpi_idle_update_bm_rld - updates the BM_RLD bit depending on target state
1379 * @pr: the processor
1380 * @target: the new target state
1381 */
1382static inline void acpi_idle_update_bm_rld(struct acpi_processor *pr,
1383 struct acpi_processor_cx *target)
1384{
1385 if (pr->flags.bm_rld_set && target->type != ACPI_STATE_C3) {
1386 acpi_set_register(ACPI_BITREG_BUS_MASTER_RLD, 0);
1387 pr->flags.bm_rld_set = 0;
1388 }
1389
1390 if (!pr->flags.bm_rld_set && target->type == ACPI_STATE_C3) {
1391 acpi_set_register(ACPI_BITREG_BUS_MASTER_RLD, 1);
1392 pr->flags.bm_rld_set = 1;
1393 }
1394}
1395
1396/**
1397 * acpi_idle_do_entry - a helper function that does C2 and C3 type entry
1398 * @cx: cstate data
bc71bec9 1399 *
1400 * Caller disables interrupt before call and enables interrupt after return.
4f86d3a8
LB
1401 */
1402static inline void acpi_idle_do_entry(struct acpi_processor_cx *cx)
1403{
bc71bec9 1404 if (cx->entry_method == ACPI_CSTATE_FFH) {
4f86d3a8
LB
1405 /* Call into architectural FFH based C-state */
1406 acpi_processor_ffh_cstate_enter(cx);
bc71bec9 1407 } else if (cx->entry_method == ACPI_CSTATE_HALT) {
1408 acpi_safe_halt();
4f86d3a8
LB
1409 } else {
1410 int unused;
1411 /* IO port based C-state */
1412 inb(cx->address);
1413 /* Dummy wait op - must do something useless after P_LVL2 read
1414 because chipsets cannot guarantee that STPCLK# signal
1415 gets asserted in time to freeze execution properly. */
1416 unused = inl(acpi_gbl_FADT.xpm_timer_block.address);
1417 }
1418}
1419
1420/**
1421 * acpi_idle_enter_c1 - enters an ACPI C1 state-type
1422 * @dev: the target CPU
1423 * @state: the state data
1424 *
1425 * This is equivalent to the HALT instruction.
1426 */
1427static int acpi_idle_enter_c1(struct cpuidle_device *dev,
1428 struct cpuidle_state *state)
1429{
9b12e18c 1430 u32 t1, t2;
4f86d3a8
LB
1431 struct acpi_processor *pr;
1432 struct acpi_processor_cx *cx = cpuidle_get_statedata(state);
9b12e18c 1433
4f86d3a8
LB
1434 pr = processors[smp_processor_id()];
1435
1436 if (unlikely(!pr))
1437 return 0;
1438
2e906655 1439 local_irq_disable();
b077fbad
VP
1440
1441 /* Do not access any ACPI IO ports in suspend path */
1442 if (acpi_idle_suspend) {
1443 acpi_safe_halt();
1444 local_irq_enable();
1445 return 0;
1446 }
1447
4f86d3a8
LB
1448 if (pr->flags.bm_check)
1449 acpi_idle_update_bm_rld(pr, cx);
1450
9b12e18c 1451 t1 = inl(acpi_gbl_FADT.xpm_timer_block.address);
bc71bec9 1452 acpi_idle_do_entry(cx);
9b12e18c 1453 t2 = inl(acpi_gbl_FADT.xpm_timer_block.address);
4f86d3a8 1454
2e906655 1455 local_irq_enable();
4f86d3a8
LB
1456 cx->usage++;
1457
9b12e18c 1458 return ticks_elapsed_in_us(t1, t2);
4f86d3a8
LB
1459}
1460
1461/**
1462 * acpi_idle_enter_simple - enters an ACPI state without BM handling
1463 * @dev: the target CPU
1464 * @state: the state data
1465 */
1466static int acpi_idle_enter_simple(struct cpuidle_device *dev,
1467 struct cpuidle_state *state)
1468{
1469 struct acpi_processor *pr;
1470 struct acpi_processor_cx *cx = cpuidle_get_statedata(state);
1471 u32 t1, t2;
50629118
VP
1472 int sleep_ticks = 0;
1473
4f86d3a8
LB
1474 pr = processors[smp_processor_id()];
1475
1476 if (unlikely(!pr))
1477 return 0;
1478
e196441b
LB
1479 if (acpi_idle_suspend)
1480 return(acpi_idle_enter_c1(dev, state));
1481
4f86d3a8
LB
1482 local_irq_disable();
1483 current_thread_info()->status &= ~TS_POLLING;
1484 /*
1485 * TS_POLLING-cleared state must be visible before we test
1486 * NEED_RESCHED:
1487 */
1488 smp_mb();
1489
1490 if (unlikely(need_resched())) {
1491 current_thread_info()->status |= TS_POLLING;
1492 local_irq_enable();
1493 return 0;
1494 }
1495
e17bcb43
TG
1496 /*
1497 * Must be done before busmaster disable as we might need to
1498 * access HPET !
1499 */
1500 acpi_state_timer_broadcast(pr, cx, 1);
1501
1502 if (pr->flags.bm_check)
1503 acpi_idle_update_bm_rld(pr, cx);
1504
4f86d3a8
LB
1505 if (cx->type == ACPI_STATE_C3)
1506 ACPI_FLUSH_CPU_CACHE();
1507
1508 t1 = inl(acpi_gbl_FADT.xpm_timer_block.address);
50629118
VP
1509 /* Tell the scheduler that we are going deep-idle: */
1510 sched_clock_idle_sleep_event();
4f86d3a8
LB
1511 acpi_idle_do_entry(cx);
1512 t2 = inl(acpi_gbl_FADT.xpm_timer_block.address);
1513
61331168 1514#if defined (CONFIG_GENERIC_TIME) && defined (CONFIG_X86)
4f86d3a8 1515 /* TSC could halt in idle, so notify users */
ddb25f9a
AK
1516 if (tsc_halts_in_c(cx->type))
1517 mark_tsc_unstable("TSC halts in idle");;
4f86d3a8 1518#endif
50629118
VP
1519 sleep_ticks = ticks_elapsed(t1, t2);
1520
1521 /* Tell the scheduler how much we idled: */
1522 sched_clock_idle_wakeup_event(sleep_ticks*PM_TIMER_TICK_NS);
4f86d3a8
LB
1523
1524 local_irq_enable();
1525 current_thread_info()->status |= TS_POLLING;
1526
1527 cx->usage++;
1528
1529 acpi_state_timer_broadcast(pr, cx, 0);
50629118 1530 cx->time += sleep_ticks;
4f86d3a8
LB
1531 return ticks_elapsed_in_us(t1, t2);
1532}
1533
1534static int c3_cpu_count;
1535static DEFINE_SPINLOCK(c3_lock);
1536
1537/**
1538 * acpi_idle_enter_bm - enters C3 with proper BM handling
1539 * @dev: the target CPU
1540 * @state: the state data
1541 *
1542 * If BM is detected, the deepest non-C3 idle state is entered instead.
1543 */
1544static int acpi_idle_enter_bm(struct cpuidle_device *dev,
1545 struct cpuidle_state *state)
1546{
1547 struct acpi_processor *pr;
1548 struct acpi_processor_cx *cx = cpuidle_get_statedata(state);
1549 u32 t1, t2;
50629118
VP
1550 int sleep_ticks = 0;
1551
4f86d3a8
LB
1552 pr = processors[smp_processor_id()];
1553
1554 if (unlikely(!pr))
1555 return 0;
1556
e196441b
LB
1557 if (acpi_idle_suspend)
1558 return(acpi_idle_enter_c1(dev, state));
1559
ddc081a1
VP
1560 if (acpi_idle_bm_check()) {
1561 if (dev->safe_state) {
1562 return dev->safe_state->enter(dev, dev->safe_state);
1563 } else {
2e906655 1564 local_irq_disable();
ddc081a1 1565 acpi_safe_halt();
2e906655 1566 local_irq_enable();
ddc081a1
VP
1567 return 0;
1568 }
1569 }
1570
4f86d3a8
LB
1571 local_irq_disable();
1572 current_thread_info()->status &= ~TS_POLLING;
1573 /*
1574 * TS_POLLING-cleared state must be visible before we test
1575 * NEED_RESCHED:
1576 */
1577 smp_mb();
1578
1579 if (unlikely(need_resched())) {
1580 current_thread_info()->status |= TS_POLLING;
1581 local_irq_enable();
1582 return 0;
1583 }
1584
996520c1
VP
1585 acpi_unlazy_tlb(smp_processor_id());
1586
50629118
VP
1587 /* Tell the scheduler that we are going deep-idle: */
1588 sched_clock_idle_sleep_event();
4f86d3a8
LB
1589 /*
1590 * Must be done before busmaster disable as we might need to
1591 * access HPET !
1592 */
1593 acpi_state_timer_broadcast(pr, cx, 1);
1594
ddc081a1 1595 acpi_idle_update_bm_rld(pr, cx);
4f86d3a8 1596
ddc081a1
VP
1597 /*
1598 * disable bus master
1599 * bm_check implies we need ARB_DIS
1600 * !bm_check implies we need cache flush
1601 * bm_control implies whether we can do ARB_DIS
1602 *
1603 * That leaves a case where bm_check is set and bm_control is
1604 * not set. In that case we cannot do much, we enter C3
1605 * without doing anything.
1606 */
1607 if (pr->flags.bm_check && pr->flags.bm_control) {
4f86d3a8
LB
1608 spin_lock(&c3_lock);
1609 c3_cpu_count++;
1610 /* Disable bus master arbitration when all CPUs are in C3 */
1611 if (c3_cpu_count == num_online_cpus())
1612 acpi_set_register(ACPI_BITREG_ARB_DISABLE, 1);
1613 spin_unlock(&c3_lock);
ddc081a1
VP
1614 } else if (!pr->flags.bm_check) {
1615 ACPI_FLUSH_CPU_CACHE();
1616 }
4f86d3a8 1617
ddc081a1
VP
1618 t1 = inl(acpi_gbl_FADT.xpm_timer_block.address);
1619 acpi_idle_do_entry(cx);
1620 t2 = inl(acpi_gbl_FADT.xpm_timer_block.address);
4f86d3a8 1621
ddc081a1
VP
1622 /* Re-enable bus master arbitration */
1623 if (pr->flags.bm_check && pr->flags.bm_control) {
4f86d3a8 1624 spin_lock(&c3_lock);
ddc081a1 1625 acpi_set_register(ACPI_BITREG_ARB_DISABLE, 0);
4f86d3a8
LB
1626 c3_cpu_count--;
1627 spin_unlock(&c3_lock);
1628 }
1629
61331168 1630#if defined (CONFIG_GENERIC_TIME) && defined (CONFIG_X86)
4f86d3a8 1631 /* TSC could halt in idle, so notify users */
ddb25f9a
AK
1632 if (tsc_halts_in_c(ACPI_STATE_C3))
1633 mark_tsc_unstable("TSC halts in idle");
4f86d3a8 1634#endif
50629118
VP
1635 sleep_ticks = ticks_elapsed(t1, t2);
1636 /* Tell the scheduler how much we idled: */
1637 sched_clock_idle_wakeup_event(sleep_ticks*PM_TIMER_TICK_NS);
4f86d3a8
LB
1638
1639 local_irq_enable();
1640 current_thread_info()->status |= TS_POLLING;
1641
1642 cx->usage++;
1643
1644 acpi_state_timer_broadcast(pr, cx, 0);
50629118 1645 cx->time += sleep_ticks;
4f86d3a8
LB
1646 return ticks_elapsed_in_us(t1, t2);
1647}
1648
1649struct cpuidle_driver acpi_idle_driver = {
1650 .name = "acpi_idle",
1651 .owner = THIS_MODULE,
1652};
1653
1654/**
1655 * acpi_processor_setup_cpuidle - prepares and configures CPUIDLE
1656 * @pr: the ACPI processor
1657 */
1658static int acpi_processor_setup_cpuidle(struct acpi_processor *pr)
1659{
9a0b8415 1660 int i, count = CPUIDLE_DRIVER_STATE_START;
4f86d3a8
LB
1661 struct acpi_processor_cx *cx;
1662 struct cpuidle_state *state;
1663 struct cpuidle_device *dev = &pr->power.dev;
1664
1665 if (!pr->flags.power_setup_done)
1666 return -EINVAL;
1667
1668 if (pr->flags.power == 0) {
1669 return -EINVAL;
1670 }
1671
4fcb2fcd
VP
1672 for (i = 0; i < CPUIDLE_STATE_MAX; i++) {
1673 dev->states[i].name[0] = '\0';
1674 dev->states[i].desc[0] = '\0';
1675 }
1676
4f86d3a8
LB
1677 for (i = 1; i < ACPI_PROCESSOR_MAX_POWER && i <= max_cstate; i++) {
1678 cx = &pr->power.states[i];
1679 state = &dev->states[count];
1680
1681 if (!cx->valid)
1682 continue;
1683
1684#ifdef CONFIG_HOTPLUG_CPU
1685 if ((cx->type != ACPI_STATE_C1) && (num_online_cpus() > 1) &&
1686 !pr->flags.has_cst &&
1687 !(acpi_gbl_FADT.flags & ACPI_FADT_C2_MP_SUPPORTED))
1688 continue;
1fec74a9 1689#endif
4f86d3a8
LB
1690 cpuidle_set_statedata(state, cx);
1691
1692 snprintf(state->name, CPUIDLE_NAME_LEN, "C%d", i);
4fcb2fcd 1693 strncpy(state->desc, cx->desc, CPUIDLE_DESC_LEN);
4f86d3a8 1694 state->exit_latency = cx->latency;
4963f620 1695 state->target_residency = cx->latency * latency_factor;
4f86d3a8
LB
1696 state->power_usage = cx->power;
1697
1698 state->flags = 0;
1699 switch (cx->type) {
1700 case ACPI_STATE_C1:
1701 state->flags |= CPUIDLE_FLAG_SHALLOW;
8e92b660
VP
1702 if (cx->entry_method == ACPI_CSTATE_FFH)
1703 state->flags |= CPUIDLE_FLAG_TIME_VALID;
1704
4f86d3a8 1705 state->enter = acpi_idle_enter_c1;
ddc081a1 1706 dev->safe_state = state;
4f86d3a8
LB
1707 break;
1708
1709 case ACPI_STATE_C2:
1710 state->flags |= CPUIDLE_FLAG_BALANCED;
1711 state->flags |= CPUIDLE_FLAG_TIME_VALID;
1712 state->enter = acpi_idle_enter_simple;
ddc081a1 1713 dev->safe_state = state;
4f86d3a8
LB
1714 break;
1715
1716 case ACPI_STATE_C3:
1717 state->flags |= CPUIDLE_FLAG_DEEP;
1718 state->flags |= CPUIDLE_FLAG_TIME_VALID;
1719 state->flags |= CPUIDLE_FLAG_CHECK_BM;
1720 state->enter = pr->flags.bm_check ?
1721 acpi_idle_enter_bm :
1722 acpi_idle_enter_simple;
1723 break;
1724 }
1725
1726 count++;
9a0b8415 1727 if (count == CPUIDLE_STATE_MAX)
1728 break;
4f86d3a8
LB
1729 }
1730
1731 dev->state_count = count;
1732
1733 if (!count)
1734 return -EINVAL;
1735
4f86d3a8
LB
1736 return 0;
1737}
1738
1739int acpi_processor_cst_has_changed(struct acpi_processor *pr)
1740{
1741 int ret;
1742
36a91358
VP
1743 if (boot_option_idle_override)
1744 return 0;
1745
4f86d3a8
LB
1746 if (!pr)
1747 return -EINVAL;
1748
1749 if (nocst) {
1750 return -ENODEV;
1751 }
1752
1753 if (!pr->flags.power_setup_done)
1754 return -ENODEV;
1755
1756 cpuidle_pause_and_lock();
1757 cpuidle_disable_device(&pr->power.dev);
1758 acpi_processor_get_power_info(pr);
1759 acpi_processor_setup_cpuidle(pr);
1760 ret = cpuidle_enable_device(&pr->power.dev);
1761 cpuidle_resume_and_unlock();
1762
1763 return ret;
1764}
1765
1766#endif /* CONFIG_CPU_IDLE */
5c87579e 1767
7af8b660 1768int __cpuinit acpi_processor_power_init(struct acpi_processor *pr,
4be44fcd 1769 struct acpi_device *device)
1da177e4 1770{
4be44fcd 1771 acpi_status status = 0;
b6835052 1772 static int first_run;
4be44fcd 1773 struct proc_dir_entry *entry = NULL;
1da177e4
LT
1774 unsigned int i;
1775
36a91358
VP
1776 if (boot_option_idle_override)
1777 return 0;
1da177e4
LT
1778
1779 if (!first_run) {
1780 dmi_check_system(processor_power_dmi_table);
c1c30634 1781 max_cstate = acpi_processor_cstate_check(max_cstate);
1da177e4 1782 if (max_cstate < ACPI_C_STATES_MAX)
4be44fcd
LB
1783 printk(KERN_NOTICE
1784 "ACPI: processor limited to max C-state %d\n",
1785 max_cstate);
1da177e4 1786 first_run++;
f011e2e2
MG
1787#if !defined(CONFIG_CPU_IDLE) && defined(CONFIG_SMP)
1788 pm_qos_add_notifier(PM_QOS_CPU_DMA_LATENCY,
1789 &acpi_processor_latency_notifier);
1fec74a9 1790#endif
1da177e4
LT
1791 }
1792
02df8b93 1793 if (!pr)
d550d98d 1794 return -EINVAL;
02df8b93 1795
cee324b1 1796 if (acpi_gbl_FADT.cst_control && !nocst) {
4be44fcd 1797 status =
cee324b1 1798 acpi_os_write_port(acpi_gbl_FADT.smi_command, acpi_gbl_FADT.cst_control, 8);
1da177e4 1799 if (ACPI_FAILURE(status)) {
a6fc6720
TR
1800 ACPI_EXCEPTION((AE_INFO, status,
1801 "Notifying BIOS of _CST ability failed"));
1da177e4
LT
1802 }
1803 }
1804
1805 acpi_processor_get_power_info(pr);
4f86d3a8 1806 pr->flags.power_setup_done = 1;
1da177e4
LT
1807
1808 /*
1809 * Install the idle handler if processor power management is supported.
1810 * Note that we use previously set idle handler will be used on
1811 * platforms that only support C1.
1812 */
36a91358 1813 if (pr->flags.power) {
4f86d3a8
LB
1814#ifdef CONFIG_CPU_IDLE
1815 acpi_processor_setup_cpuidle(pr);
1816 pr->power.dev.cpu = pr->id;
1817 if (cpuidle_register_device(&pr->power.dev))
1818 return -EIO;
1819#endif
1820
1da177e4
LT
1821 printk(KERN_INFO PREFIX "CPU%d (power states:", pr->id);
1822 for (i = 1; i <= pr->power.count; i++)
1823 if (pr->power.states[i].valid)
4be44fcd
LB
1824 printk(" C%d[C%d]", i,
1825 pr->power.states[i].type);
1da177e4
LT
1826 printk(")\n");
1827
4f86d3a8 1828#ifndef CONFIG_CPU_IDLE
1da177e4
LT
1829 if (pr->id == 0) {
1830 pm_idle_save = pm_idle;
1831 pm_idle = acpi_processor_idle;
1832 }
4f86d3a8 1833#endif
1da177e4
LT
1834 }
1835
1836 /* 'power' [R] */
1837 entry = create_proc_entry(ACPI_PROCESSOR_FILE_POWER,
4be44fcd 1838 S_IRUGO, acpi_device_dir(device));
1da177e4 1839 if (!entry)
a6fc6720 1840 return -EIO;
1da177e4
LT
1841 else {
1842 entry->proc_fops = &acpi_processor_power_fops;
1843 entry->data = acpi_driver_data(device);
1844 entry->owner = THIS_MODULE;
1845 }
1846
d550d98d 1847 return 0;
1da177e4
LT
1848}
1849
4be44fcd
LB
1850int acpi_processor_power_exit(struct acpi_processor *pr,
1851 struct acpi_device *device)
1da177e4 1852{
36a91358
VP
1853 if (boot_option_idle_override)
1854 return 0;
1855
4f86d3a8 1856#ifdef CONFIG_CPU_IDLE
36a91358 1857 if (pr->flags.power)
4f86d3a8
LB
1858 cpuidle_unregister_device(&pr->power.dev);
1859#endif
1da177e4
LT
1860 pr->flags.power_setup_done = 0;
1861
1862 if (acpi_device_dir(device))
4be44fcd
LB
1863 remove_proc_entry(ACPI_PROCESSOR_FILE_POWER,
1864 acpi_device_dir(device));
1da177e4 1865
4f86d3a8
LB
1866#ifndef CONFIG_CPU_IDLE
1867
1da177e4
LT
1868 /* Unregister the idle handler when processor #0 is removed. */
1869 if (pr->id == 0) {
1870 pm_idle = pm_idle_save;
1871
1872 /*
1873 * We are about to unload the current idle thread pm callback
1874 * (pm_idle), Wait for all processors to update cached/local
1875 * copies of pm_idle before proceeding.
1876 */
1877 cpu_idle_wait();
1fec74a9 1878#ifdef CONFIG_SMP
f011e2e2
MG
1879 pm_qos_remove_notifier(PM_QOS_CPU_DMA_LATENCY,
1880 &acpi_processor_latency_notifier);
1fec74a9 1881#endif
1da177e4 1882 }
4f86d3a8 1883#endif
1da177e4 1884
d550d98d 1885 return 0;
1da177e4 1886}