include cleanup: Update gfp.h and slab.h includes to prepare for breaking implicit...
[GitHub/mt8127/android_kernel_alcatel_ttab.git] / drivers / scsi / lpfc / lpfc_mbox.c
CommitLineData
dea3101e
JB
1/*******************************************************************
2 * This file is part of the Emulex Linux Device Driver for *
c44ce173 3 * Fibre Channel Host Bus Adapters. *
d8e93df1 4 * Copyright (C) 2004-2009 Emulex. All rights reserved. *
c44ce173 5 * EMULEX and SLI are trademarks of Emulex. *
dea3101e 6 * www.emulex.com *
c44ce173 7 * Portions Copyright (C) 2004-2005 Christoph Hellwig *
dea3101e
JB
8 * *
9 * This program is free software; you can redistribute it and/or *
c44ce173
JSEC
10 * modify it under the terms of version 2 of the GNU General *
11 * Public License as published by the Free Software Foundation. *
12 * This program is distributed in the hope that it will be useful. *
13 * ALL EXPRESS OR IMPLIED CONDITIONS, REPRESENTATIONS AND *
14 * WARRANTIES, INCLUDING ANY IMPLIED WARRANTY OF MERCHANTABILITY, *
15 * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT, ARE *
16 * DISCLAIMED, EXCEPT TO THE EXTENT THAT SUCH DISCLAIMERS ARE HELD *
17 * TO BE LEGALLY INVALID. See the GNU General Public License for *
18 * more details, a copy of which can be found in the file COPYING *
19 * included with this package. *
dea3101e
JB
20 *******************************************************************/
21
dea3101e
JB
22#include <linux/blkdev.h>
23#include <linux/pci.h>
5a0e3ad6 24#include <linux/slab.h>
dea3101e
JB
25#include <linux/interrupt.h>
26
f888ba3c
JSEC
27#include <scsi/scsi_device.h>
28#include <scsi/scsi_transport_fc.h>
91886523 29#include <scsi/scsi.h>
6a9c52cf 30#include <scsi/fc/fc_fs.h>
91886523 31
da0436e9 32#include "lpfc_hw4.h"
dea3101e
JB
33#include "lpfc_hw.h"
34#include "lpfc_sli.h"
da0436e9 35#include "lpfc_sli4.h"
ea2151b4 36#include "lpfc_nl.h"
dea3101e
JB
37#include "lpfc_disc.h"
38#include "lpfc_scsi.h"
39#include "lpfc.h"
40#include "lpfc_logmsg.h"
41#include "lpfc_crtn.h"
42#include "lpfc_compat.h"
43
21e9a0a5
JS
44/**
45 * lpfc_dump_static_vport - Dump HBA's static vport information.
46 * @phba: pointer to lpfc hba data structure.
47 * @pmb: pointer to the driver internal queue element for mailbox command.
48 * @offset: offset for dumping vport info.
49 *
50 * The dump mailbox command provides a method for the device driver to obtain
51 * various types of information from the HBA device.
52 *
53 * This routine prepares the mailbox command for dumping list of static
54 * vports to be created.
55 **/
1c6834a7 56int
21e9a0a5
JS
57lpfc_dump_static_vport(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb,
58 uint16_t offset)
59{
60 MAILBOX_t *mb;
1c6834a7 61 struct lpfc_dmabuf *mp;
21e9a0a5
JS
62
63 mb = &pmb->u.mb;
21e9a0a5
JS
64
65 /* Setup to dump vport info region */
66 memset(pmb, 0, sizeof(LPFC_MBOXQ_t));
67 mb->mbxCommand = MBX_DUMP_MEMORY;
21e9a0a5
JS
68 mb->un.varDmp.type = DMP_NV_PARAMS;
69 mb->un.varDmp.entry_index = offset;
70 mb->un.varDmp.region_id = DMP_REGION_VPORT;
21e9a0a5
JS
71 mb->mbxOwner = OWN_HOST;
72
1c6834a7
JS
73 /* For SLI3 HBAs data is embedded in mailbox */
74 if (phba->sli_rev != LPFC_SLI_REV4) {
75 mb->un.varDmp.cv = 1;
76 mb->un.varDmp.word_cnt = DMP_RSP_SIZE/sizeof(uint32_t);
77 return 0;
78 }
79
80 /* For SLI4 HBAs driver need to allocate memory */
81 mp = kmalloc(sizeof(struct lpfc_dmabuf), GFP_KERNEL);
82 if (mp)
83 mp->virt = lpfc_mbuf_alloc(phba, 0, &mp->phys);
84
85 if (!mp || !mp->virt) {
86 kfree(mp);
87 lpfc_printf_log(phba, KERN_ERR, LOG_MBOX,
88 "2605 lpfc_dump_static_vport: memory"
89 " allocation failed\n");
90 return 1;
91 }
92 memset(mp->virt, 0, LPFC_BPL_SIZE);
93 INIT_LIST_HEAD(&mp->list);
94 /* save address for completion */
95 pmb->context2 = (uint8_t *) mp;
96 mb->un.varWords[3] = putPaddrLow(mp->phys);
97 mb->un.varWords[4] = putPaddrHigh(mp->phys);
98 mb->un.varDmp.sli4_length = sizeof(struct static_vport_info);
99
100 return 0;
21e9a0a5
JS
101}
102
e59058c4 103/**
a0c87cbd 104 * lpfc_down_link - Bring down HBAs link.
e59058c4
JS
105 * @phba: pointer to lpfc hba data structure.
106 * @pmb: pointer to the driver internal queue element for mailbox command.
a0c87cbd
JS
107 *
108 * This routine prepares a mailbox command to bring down HBA link.
109 **/
110void
111lpfc_down_link(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb)
112{
113 MAILBOX_t *mb;
114 memset(pmb, 0, sizeof(LPFC_MBOXQ_t));
115 mb = &pmb->u.mb;
116 mb->mbxCommand = MBX_DOWN_LINK;
117 mb->mbxOwner = OWN_HOST;
118}
119
120/**
121 * lpfc_dump_mem - Prepare a mailbox command for reading a region.
122 * @phba: pointer to lpfc hba data structure.
123 * @pmb: pointer to the driver internal queue element for mailbox command.
124 * @offset: offset into the region.
125 * @region_id: config region id.
e59058c4
JS
126 *
127 * The dump mailbox command provides a method for the device driver to obtain
128 * various types of information from the HBA device.
129 *
a0c87cbd 130 * This routine prepares the mailbox command for dumping HBA's config region.
e59058c4 131 **/
dea3101e 132void
a0c87cbd
JS
133lpfc_dump_mem(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb, uint16_t offset,
134 uint16_t region_id)
dea3101e
JB
135{
136 MAILBOX_t *mb;
137 void *ctx;
138
04c68496 139 mb = &pmb->u.mb;
dea3101e
JB
140 ctx = pmb->context2;
141
142 /* Setup to dump VPD region */
143 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
144 mb->mbxCommand = MBX_DUMP_MEMORY;
145 mb->un.varDmp.cv = 1;
146 mb->un.varDmp.type = DMP_NV_PARAMS;
147 mb->un.varDmp.entry_index = offset;
a0c87cbd 148 mb->un.varDmp.region_id = region_id;
dea3101e
JB
149 mb->un.varDmp.word_cnt = (DMP_RSP_SIZE / sizeof (uint32_t));
150 mb->un.varDmp.co = 0;
151 mb->un.varDmp.resp_offset = 0;
152 pmb->context2 = ctx;
153 mb->mbxOwner = OWN_HOST;
154 return;
155}
156
97207482 157/**
3621a710 158 * lpfc_dump_wakeup_param - Prepare mailbox command for retrieving wakeup params
97207482
JS
159 * @phba: pointer to lpfc hba data structure.
160 * @pmb: pointer to the driver internal queue element for mailbox command.
3621a710 161 *
97207482
JS
162 * This function create a dump memory mailbox command to dump wake up
163 * parameters.
164 */
165void
166lpfc_dump_wakeup_param(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb)
167{
168 MAILBOX_t *mb;
169 void *ctx;
170
04c68496 171 mb = &pmb->u.mb;
97207482
JS
172 /* Save context so that we can restore after memset */
173 ctx = pmb->context2;
174
175 /* Setup to dump VPD region */
176 memset(pmb, 0, sizeof(LPFC_MBOXQ_t));
177 mb->mbxCommand = MBX_DUMP_MEMORY;
178 mb->mbxOwner = OWN_HOST;
179 mb->un.varDmp.cv = 1;
180 mb->un.varDmp.type = DMP_NV_PARAMS;
181 mb->un.varDmp.entry_index = 0;
182 mb->un.varDmp.region_id = WAKE_UP_PARMS_REGION_ID;
183 mb->un.varDmp.word_cnt = WAKE_UP_PARMS_WORD_SIZE;
184 mb->un.varDmp.co = 0;
185 mb->un.varDmp.resp_offset = 0;
186 pmb->context2 = ctx;
187 return;
188}
189
e59058c4 190/**
3621a710 191 * lpfc_read_nv - Prepare a mailbox command for reading HBA's NVRAM param
e59058c4
JS
192 * @phba: pointer to lpfc hba data structure.
193 * @pmb: pointer to the driver internal queue element for mailbox command.
194 *
195 * The read NVRAM mailbox command returns the HBA's non-volatile parameters
196 * that are used as defaults when the Fibre Channel link is brought on-line.
197 *
198 * This routine prepares the mailbox command for reading information stored
199 * in the HBA's NVRAM. Specifically, the HBA's WWNN and WWPN.
200 **/
dea3101e
JB
201void
202lpfc_read_nv(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
203{
204 MAILBOX_t *mb;
205
04c68496 206 mb = &pmb->u.mb;
dea3101e
JB
207 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
208 mb->mbxCommand = MBX_READ_NV;
209 mb->mbxOwner = OWN_HOST;
210 return;
211}
212
e59058c4 213/**
3621a710 214 * lpfc_config_async - Prepare a mailbox command for enabling HBA async event
e59058c4
JS
215 * @phba: pointer to lpfc hba data structure.
216 * @pmb: pointer to the driver internal queue element for mailbox command.
217 * @ring: ring number for the asynchronous event to be configured.
218 *
219 * The asynchronous event enable mailbox command is used to enable the
220 * asynchronous event posting via the ASYNC_STATUS_CN IOCB response and
221 * specifies the default ring to which events are posted.
222 *
223 * This routine prepares the mailbox command for enabling HBA asynchronous
224 * event support on a IOCB ring.
225 **/
57127f15
JS
226void
227lpfc_config_async(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb,
228 uint32_t ring)
229{
230 MAILBOX_t *mb;
231
04c68496 232 mb = &pmb->u.mb;
57127f15
JS
233 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
234 mb->mbxCommand = MBX_ASYNCEVT_ENABLE;
235 mb->un.varCfgAsyncEvent.ring = ring;
236 mb->mbxOwner = OWN_HOST;
237 return;
238}
239
e59058c4 240/**
3621a710 241 * lpfc_heart_beat - Prepare a mailbox command for heart beat
e59058c4
JS
242 * @phba: pointer to lpfc hba data structure.
243 * @pmb: pointer to the driver internal queue element for mailbox command.
244 *
245 * The heart beat mailbox command is used to detect an unresponsive HBA, which
246 * is defined as any device where no error attention is sent and both mailbox
247 * and rings are not processed.
248 *
249 * This routine prepares the mailbox command for issuing a heart beat in the
250 * form of mailbox command to the HBA. The timely completion of the heart
251 * beat mailbox command indicates the health of the HBA.
252 **/
858c9f6c
JS
253void
254lpfc_heart_beat(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
255{
256 MAILBOX_t *mb;
257
04c68496 258 mb = &pmb->u.mb;
858c9f6c
JS
259 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
260 mb->mbxCommand = MBX_HEARTBEAT;
261 mb->mbxOwner = OWN_HOST;
262 return;
263}
264
e59058c4 265/**
3621a710 266 * lpfc_read_la - Prepare a mailbox command for reading HBA link attention
e59058c4
JS
267 * @phba: pointer to lpfc hba data structure.
268 * @pmb: pointer to the driver internal queue element for mailbox command.
269 * @mp: DMA buffer memory for reading the link attention information into.
270 *
271 * The read link attention mailbox command is issued to read the Link Event
272 * Attention information indicated by the HBA port when the Link Event bit
273 * of the Host Attention (HSTATT) register is set to 1. A Link Event
274 * Attention occurs based on an exception detected at the Fibre Channel link
275 * interface.
276 *
277 * This routine prepares the mailbox command for reading HBA link attention
278 * information. A DMA memory has been set aside and address passed to the
279 * HBA through @mp for the HBA to DMA link attention information into the
280 * memory as part of the execution of the mailbox command.
281 *
282 * Return codes
283 * 0 - Success (currently always return 0)
284 **/
dea3101e
JB
285int
286lpfc_read_la(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb, struct lpfc_dmabuf *mp)
287{
288 MAILBOX_t *mb;
289 struct lpfc_sli *psli;
290
291 psli = &phba->sli;
04c68496 292 mb = &pmb->u.mb;
dea3101e
JB
293 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
294
295 INIT_LIST_HEAD(&mp->list);
296 mb->mbxCommand = MBX_READ_LA64;
297 mb->un.varReadLA.un.lilpBde64.tus.f.bdeSize = 128;
298 mb->un.varReadLA.un.lilpBde64.addrHigh = putPaddrHigh(mp->phys);
299 mb->un.varReadLA.un.lilpBde64.addrLow = putPaddrLow(mp->phys);
300
301 /* Save address for later completion and set the owner to host so that
302 * the FW knows this mailbox is available for processing.
303 */
304 pmb->context1 = (uint8_t *) mp;
305 mb->mbxOwner = OWN_HOST;
92d7f7b0 306 return (0);
dea3101e
JB
307}
308
e59058c4 309/**
3621a710 310 * lpfc_clear_la - Prepare a mailbox command for clearing HBA link attention
e59058c4
JS
311 * @phba: pointer to lpfc hba data structure.
312 * @pmb: pointer to the driver internal queue element for mailbox command.
313 *
314 * The clear link attention mailbox command is issued to clear the link event
315 * attention condition indicated by the Link Event bit of the Host Attention
316 * (HSTATT) register. The link event attention condition is cleared only if
317 * the event tag specified matches that of the current link event counter.
318 * The current event tag is read using the read link attention event mailbox
319 * command.
320 *
321 * This routine prepares the mailbox command for clearing HBA link attention
322 * information.
323 **/
dea3101e
JB
324void
325lpfc_clear_la(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
326{
327 MAILBOX_t *mb;
328
04c68496 329 mb = &pmb->u.mb;
dea3101e
JB
330 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
331
332 mb->un.varClearLA.eventTag = phba->fc_eventTag;
333 mb->mbxCommand = MBX_CLEAR_LA;
334 mb->mbxOwner = OWN_HOST;
335 return;
336}
337
e59058c4 338/**
3621a710 339 * lpfc_config_link - Prepare a mailbox command for configuring link on a HBA
e59058c4
JS
340 * @phba: pointer to lpfc hba data structure.
341 * @pmb: pointer to the driver internal queue element for mailbox command.
342 *
343 * The configure link mailbox command is used before the initialize link
344 * mailbox command to override default value and to configure link-oriented
345 * parameters such as DID address and various timers. Typically, this
346 * command would be used after an F_Port login to set the returned DID address
347 * and the fabric timeout values. This command is not valid before a configure
348 * port command has configured the HBA port.
349 *
350 * This routine prepares the mailbox command for configuring link on a HBA.
351 **/
dea3101e
JB
352void
353lpfc_config_link(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
354{
2e0fef85 355 struct lpfc_vport *vport = phba->pport;
04c68496 356 MAILBOX_t *mb = &pmb->u.mb;
dea3101e
JB
357 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
358
359 /* NEW_FEATURE
360 * SLI-2, Coalescing Response Feature.
361 */
362 if (phba->cfg_cr_delay) {
363 mb->un.varCfgLnk.cr = 1;
364 mb->un.varCfgLnk.ci = 1;
365 mb->un.varCfgLnk.cr_delay = phba->cfg_cr_delay;
366 mb->un.varCfgLnk.cr_count = phba->cfg_cr_count;
367 }
368
2e0fef85 369 mb->un.varCfgLnk.myId = vport->fc_myDID;
dea3101e
JB
370 mb->un.varCfgLnk.edtov = phba->fc_edtov;
371 mb->un.varCfgLnk.arbtov = phba->fc_arbtov;
372 mb->un.varCfgLnk.ratov = phba->fc_ratov;
373 mb->un.varCfgLnk.rttov = phba->fc_rttov;
374 mb->un.varCfgLnk.altov = phba->fc_altov;
375 mb->un.varCfgLnk.crtov = phba->fc_crtov;
376 mb->un.varCfgLnk.citov = phba->fc_citov;
377
378 if (phba->cfg_ack0)
379 mb->un.varCfgLnk.ack0_enable = 1;
380
381 mb->mbxCommand = MBX_CONFIG_LINK;
382 mb->mbxOwner = OWN_HOST;
383 return;
384}
385
9399627f 386/**
3621a710 387 * lpfc_config_msi - Prepare a mailbox command for configuring msi-x
9399627f
JS
388 * @phba: pointer to lpfc hba data structure.
389 * @pmb: pointer to the driver internal queue element for mailbox command.
390 *
391 * The configure MSI-X mailbox command is used to configure the HBA's SLI-3
392 * MSI-X multi-message interrupt vector association to interrupt attention
393 * conditions.
394 *
395 * Return codes
396 * 0 - Success
397 * -EINVAL - Failure
398 **/
399int
400lpfc_config_msi(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb)
401{
04c68496 402 MAILBOX_t *mb = &pmb->u.mb;
9399627f
JS
403 uint32_t attentionConditions[2];
404
405 /* Sanity check */
406 if (phba->cfg_use_msi != 2) {
407 lpfc_printf_log(phba, KERN_ERR, LOG_INIT,
408 "0475 Not configured for supporting MSI-X "
409 "cfg_use_msi: 0x%x\n", phba->cfg_use_msi);
410 return -EINVAL;
411 }
412
413 if (phba->sli_rev < 3) {
414 lpfc_printf_log(phba, KERN_ERR, LOG_INIT,
415 "0476 HBA not supporting SLI-3 or later "
416 "SLI Revision: 0x%x\n", phba->sli_rev);
417 return -EINVAL;
418 }
419
420 /* Clear mailbox command fields */
421 memset(pmb, 0, sizeof(LPFC_MBOXQ_t));
422
423 /*
424 * SLI-3, Message Signaled Interrupt Fearure.
425 */
426
427 /* Multi-message attention configuration */
428 attentionConditions[0] = (HA_R0ATT | HA_R1ATT | HA_R2ATT | HA_ERATT |
429 HA_LATT | HA_MBATT);
430 attentionConditions[1] = 0;
431
432 mb->un.varCfgMSI.attentionConditions[0] = attentionConditions[0];
433 mb->un.varCfgMSI.attentionConditions[1] = attentionConditions[1];
434
435 /*
436 * Set up message number to HA bit association
437 */
438#ifdef __BIG_ENDIAN_BITFIELD
439 /* RA0 (FCP Ring) */
440 mb->un.varCfgMSI.messageNumberByHA[HA_R0_POS] = 1;
441 /* RA1 (Other Protocol Extra Ring) */
442 mb->un.varCfgMSI.messageNumberByHA[HA_R1_POS] = 1;
443#else /* __LITTLE_ENDIAN_BITFIELD */
444 /* RA0 (FCP Ring) */
445 mb->un.varCfgMSI.messageNumberByHA[HA_R0_POS^3] = 1;
446 /* RA1 (Other Protocol Extra Ring) */
447 mb->un.varCfgMSI.messageNumberByHA[HA_R1_POS^3] = 1;
448#endif
449 /* Multi-message interrupt autoclear configuration*/
450 mb->un.varCfgMSI.autoClearHA[0] = attentionConditions[0];
451 mb->un.varCfgMSI.autoClearHA[1] = attentionConditions[1];
452
453 /* For now, HBA autoclear does not work reliably, disable it */
454 mb->un.varCfgMSI.autoClearHA[0] = 0;
455 mb->un.varCfgMSI.autoClearHA[1] = 0;
456
457 /* Set command and owner bit */
458 mb->mbxCommand = MBX_CONFIG_MSI;
459 mb->mbxOwner = OWN_HOST;
460
461 return 0;
462}
463
e59058c4 464/**
3621a710 465 * lpfc_init_link - Prepare a mailbox command for initialize link on a HBA
e59058c4
JS
466 * @phba: pointer to lpfc hba data structure.
467 * @pmb: pointer to the driver internal queue element for mailbox command.
468 * @topology: the link topology for the link to be initialized to.
469 * @linkspeed: the link speed for the link to be initialized to.
470 *
471 * The initialize link mailbox command is used to initialize the Fibre
472 * Channel link. This command must follow a configure port command that
473 * establishes the mode of operation.
474 *
475 * This routine prepares the mailbox command for initializing link on a HBA
476 * with the specified link topology and speed.
477 **/
dea3101e
JB
478void
479lpfc_init_link(struct lpfc_hba * phba,
480 LPFC_MBOXQ_t * pmb, uint32_t topology, uint32_t linkspeed)
481{
482 lpfc_vpd_t *vpd;
483 struct lpfc_sli *psli;
484 MAILBOX_t *mb;
485
04c68496 486 mb = &pmb->u.mb;
dea3101e
JB
487 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
488
489 psli = &phba->sli;
490 switch (topology) {
491 case FLAGS_TOPOLOGY_MODE_LOOP_PT:
492 mb->un.varInitLnk.link_flags = FLAGS_TOPOLOGY_MODE_LOOP;
493 mb->un.varInitLnk.link_flags |= FLAGS_TOPOLOGY_FAILOVER;
494 break;
495 case FLAGS_TOPOLOGY_MODE_PT_PT:
496 mb->un.varInitLnk.link_flags = FLAGS_TOPOLOGY_MODE_PT_PT;
497 break;
498 case FLAGS_TOPOLOGY_MODE_LOOP:
499 mb->un.varInitLnk.link_flags = FLAGS_TOPOLOGY_MODE_LOOP;
500 break;
501 case FLAGS_TOPOLOGY_MODE_PT_LOOP:
502 mb->un.varInitLnk.link_flags = FLAGS_TOPOLOGY_MODE_PT_PT;
503 mb->un.varInitLnk.link_flags |= FLAGS_TOPOLOGY_FAILOVER;
504 break;
367c2713
JW
505 case FLAGS_LOCAL_LB:
506 mb->un.varInitLnk.link_flags = FLAGS_LOCAL_LB;
507 break;
dea3101e
JB
508 }
509
4b0b91d4
JS
510 /* Enable asynchronous ABTS responses from firmware */
511 mb->un.varInitLnk.link_flags |= FLAGS_IMED_ABORT;
512
dea3101e
JB
513 /* NEW_FEATURE
514 * Setting up the link speed
515 */
516 vpd = &phba->vpd;
517 if (vpd->rev.feaLevelHigh >= 0x02){
92d7f7b0 518 switch(linkspeed){
dea3101e
JB
519 case LINK_SPEED_1G:
520 case LINK_SPEED_2G:
521 case LINK_SPEED_4G:
b87eab38 522 case LINK_SPEED_8G:
dea3101e
JB
523 mb->un.varInitLnk.link_flags |=
524 FLAGS_LINK_SPEED;
525 mb->un.varInitLnk.link_speed = linkspeed;
526 break;
527 case LINK_SPEED_AUTO:
528 default:
529 mb->un.varInitLnk.link_speed =
530 LINK_SPEED_AUTO;
531 break;
532 }
533
534 }
535 else
536 mb->un.varInitLnk.link_speed = LINK_SPEED_AUTO;
537
538 mb->mbxCommand = (volatile uint8_t)MBX_INIT_LINK;
539 mb->mbxOwner = OWN_HOST;
540 mb->un.varInitLnk.fabric_AL_PA = phba->fc_pref_ALPA;
541 return;
542}
543
e59058c4 544/**
3621a710 545 * lpfc_read_sparam - Prepare a mailbox command for reading HBA parameters
e59058c4
JS
546 * @phba: pointer to lpfc hba data structure.
547 * @pmb: pointer to the driver internal queue element for mailbox command.
548 * @vpi: virtual N_Port identifier.
549 *
550 * The read service parameter mailbox command is used to read the HBA port
551 * service parameters. The service parameters are read into the buffer
552 * specified directly by a BDE in the mailbox command. These service
553 * parameters may then be used to build the payload of an N_Port/F_POrt
554 * login request and reply (LOGI/ACC).
555 *
556 * This routine prepares the mailbox command for reading HBA port service
557 * parameters. The DMA memory is allocated in this function and the addresses
558 * are populated into the mailbox command for the HBA to DMA the service
559 * parameters into.
560 *
561 * Return codes
562 * 0 - Success
563 * 1 - DMA memory allocation failed
564 **/
dea3101e 565int
92d7f7b0 566lpfc_read_sparam(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb, int vpi)
dea3101e
JB
567{
568 struct lpfc_dmabuf *mp;
569 MAILBOX_t *mb;
570 struct lpfc_sli *psli;
571
572 psli = &phba->sli;
04c68496 573 mb = &pmb->u.mb;
dea3101e
JB
574 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
575
576 mb->mbxOwner = OWN_HOST;
577
578 /* Get a buffer to hold the HBAs Service Parameters */
579
98c9ea5c
JS
580 mp = kmalloc(sizeof (struct lpfc_dmabuf), GFP_KERNEL);
581 if (mp)
582 mp->virt = lpfc_mbuf_alloc(phba, 0, &mp->phys);
583 if (!mp || !mp->virt) {
c9475cb0 584 kfree(mp);
dea3101e
JB
585 mb->mbxCommand = MBX_READ_SPARM64;
586 /* READ_SPARAM: no buffers */
e8b62011
JS
587 lpfc_printf_log(phba, KERN_WARNING, LOG_MBOX,
588 "0301 READ_SPARAM: no buffers\n");
92d7f7b0 589 return (1);
dea3101e
JB
590 }
591 INIT_LIST_HEAD(&mp->list);
592 mb->mbxCommand = MBX_READ_SPARM64;
593 mb->un.varRdSparm.un.sp64.tus.f.bdeSize = sizeof (struct serv_parm);
594 mb->un.varRdSparm.un.sp64.addrHigh = putPaddrHigh(mp->phys);
595 mb->un.varRdSparm.un.sp64.addrLow = putPaddrLow(mp->phys);
04c68496 596 mb->un.varRdSparm.vpi = vpi + phba->vpi_base;
dea3101e
JB
597
598 /* save address for completion */
599 pmb->context1 = mp;
600
92d7f7b0 601 return (0);
dea3101e
JB
602}
603
e59058c4 604/**
3621a710 605 * lpfc_unreg_did - Prepare a mailbox command for unregistering DID
e59058c4
JS
606 * @phba: pointer to lpfc hba data structure.
607 * @vpi: virtual N_Port identifier.
608 * @did: remote port identifier.
609 * @pmb: pointer to the driver internal queue element for mailbox command.
610 *
611 * The unregister DID mailbox command is used to unregister an N_Port/F_Port
612 * login for an unknown RPI by specifying the DID of a remote port. This
613 * command frees an RPI context in the HBA port. This has the effect of
614 * performing an implicit N_Port/F_Port logout.
615 *
616 * This routine prepares the mailbox command for unregistering a remote
617 * N_Port/F_Port (DID) login.
618 **/
dea3101e 619void
92d7f7b0
JS
620lpfc_unreg_did(struct lpfc_hba * phba, uint16_t vpi, uint32_t did,
621 LPFC_MBOXQ_t * pmb)
dea3101e
JB
622{
623 MAILBOX_t *mb;
624
04c68496 625 mb = &pmb->u.mb;
dea3101e
JB
626 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
627
628 mb->un.varUnregDID.did = did;
04c68496
JS
629 if (vpi != 0xffff)
630 vpi += phba->vpi_base;
92d7f7b0 631 mb->un.varUnregDID.vpi = vpi;
dea3101e
JB
632
633 mb->mbxCommand = MBX_UNREG_D_ID;
634 mb->mbxOwner = OWN_HOST;
635 return;
636}
637
e59058c4 638/**
3621a710 639 * lpfc_read_config - Prepare a mailbox command for reading HBA configuration
e59058c4
JS
640 * @phba: pointer to lpfc hba data structure.
641 * @pmb: pointer to the driver internal queue element for mailbox command.
642 *
643 * The read configuration mailbox command is used to read the HBA port
644 * configuration parameters. This mailbox command provides a method for
645 * seeing any parameters that may have changed via various configuration
646 * mailbox commands.
647 *
648 * This routine prepares the mailbox command for reading out HBA configuration
649 * parameters.
650 **/
dea3101e
JB
651void
652lpfc_read_config(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
653{
654 MAILBOX_t *mb;
655
04c68496 656 mb = &pmb->u.mb;
dea3101e
JB
657 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
658
659 mb->mbxCommand = MBX_READ_CONFIG;
660 mb->mbxOwner = OWN_HOST;
661 return;
662}
663
e59058c4 664/**
3621a710 665 * lpfc_read_lnk_stat - Prepare a mailbox command for reading HBA link stats
e59058c4
JS
666 * @phba: pointer to lpfc hba data structure.
667 * @pmb: pointer to the driver internal queue element for mailbox command.
668 *
669 * The read link status mailbox command is used to read the link status from
670 * the HBA. Link status includes all link-related error counters. These
671 * counters are maintained by the HBA and originated in the link hardware
672 * unit. Note that all of these counters wrap.
673 *
674 * This routine prepares the mailbox command for reading out HBA link status.
675 **/
7bb3b137
JW
676void
677lpfc_read_lnk_stat(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
678{
679 MAILBOX_t *mb;
680
04c68496 681 mb = &pmb->u.mb;
7bb3b137
JW
682 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
683
684 mb->mbxCommand = MBX_READ_LNK_STAT;
685 mb->mbxOwner = OWN_HOST;
686 return;
687}
688
e59058c4 689/**
04c68496 690 * lpfc_reg_rpi - Prepare a mailbox command for registering remote login
e59058c4
JS
691 * @phba: pointer to lpfc hba data structure.
692 * @vpi: virtual N_Port identifier.
693 * @did: remote port identifier.
694 * @param: pointer to memory holding the server parameters.
695 * @pmb: pointer to the driver internal queue element for mailbox command.
696 * @flag: action flag to be passed back for the complete function.
697 *
698 * The registration login mailbox command is used to register an N_Port or
699 * F_Port login. This registration allows the HBA to cache the remote N_Port
700 * service parameters internally and thereby make the appropriate FC-2
701 * decisions. The remote port service parameters are handed off by the driver
702 * to the HBA using a descriptor entry that directly identifies a buffer in
703 * host memory. In exchange, the HBA returns an RPI identifier.
704 *
705 * This routine prepares the mailbox command for registering remote port login.
706 * The function allocates DMA buffer for passing the service parameters to the
707 * HBA with the mailbox command.
708 *
709 * Return codes
710 * 0 - Success
711 * 1 - DMA memory allocation failed
712 **/
dea3101e 713int
04c68496 714lpfc_reg_rpi(struct lpfc_hba *phba, uint16_t vpi, uint32_t did,
92d7f7b0 715 uint8_t *param, LPFC_MBOXQ_t *pmb, uint32_t flag)
dea3101e 716{
04c68496 717 MAILBOX_t *mb = &pmb->u.mb;
dea3101e
JB
718 uint8_t *sparam;
719 struct lpfc_dmabuf *mp;
dea3101e 720
dea3101e
JB
721 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
722
723 mb->un.varRegLogin.rpi = 0;
04c68496
JS
724 if (phba->sli_rev == LPFC_SLI_REV4) {
725 mb->un.varRegLogin.rpi = lpfc_sli4_alloc_rpi(phba);
726 if (mb->un.varRegLogin.rpi == LPFC_RPI_ALLOC_ERROR)
727 return 1;
728 }
729
730 mb->un.varRegLogin.vpi = vpi + phba->vpi_base;
dea3101e
JB
731 mb->un.varRegLogin.did = did;
732 mb->un.varWords[30] = flag; /* Set flag to issue action on cmpl */
733
734 mb->mbxOwner = OWN_HOST;
735
736 /* Get a buffer to hold NPorts Service Parameters */
98c9ea5c
JS
737 mp = kmalloc(sizeof (struct lpfc_dmabuf), GFP_KERNEL);
738 if (mp)
739 mp->virt = lpfc_mbuf_alloc(phba, 0, &mp->phys);
740 if (!mp || !mp->virt) {
c9475cb0 741 kfree(mp);
dea3101e
JB
742 mb->mbxCommand = MBX_REG_LOGIN64;
743 /* REG_LOGIN: no buffers */
92d7f7b0 744 lpfc_printf_log(phba, KERN_WARNING, LOG_MBOX,
e8b62011
JS
745 "0302 REG_LOGIN: no buffers, VPI:%d DID:x%x, "
746 "flag x%x\n", vpi, did, flag);
92d7f7b0 747 return (1);
dea3101e
JB
748 }
749 INIT_LIST_HEAD(&mp->list);
750 sparam = mp->virt;
751
752 /* Copy param's into a new buffer */
753 memcpy(sparam, param, sizeof (struct serv_parm));
754
755 /* save address for completion */
756 pmb->context1 = (uint8_t *) mp;
757
758 mb->mbxCommand = MBX_REG_LOGIN64;
759 mb->un.varRegLogin.un.sp64.tus.f.bdeSize = sizeof (struct serv_parm);
760 mb->un.varRegLogin.un.sp64.addrHigh = putPaddrHigh(mp->phys);
761 mb->un.varRegLogin.un.sp64.addrLow = putPaddrLow(mp->phys);
762
92d7f7b0 763 return (0);
dea3101e
JB
764}
765
e59058c4 766/**
3621a710 767 * lpfc_unreg_login - Prepare a mailbox command for unregistering remote login
e59058c4
JS
768 * @phba: pointer to lpfc hba data structure.
769 * @vpi: virtual N_Port identifier.
770 * @rpi: remote port identifier
771 * @pmb: pointer to the driver internal queue element for mailbox command.
772 *
773 * The unregistration login mailbox command is used to unregister an N_Port
774 * or F_Port login. This command frees an RPI context in the HBA. It has the
775 * effect of performing an implicit N_Port/F_Port logout.
776 *
777 * This routine prepares the mailbox command for unregistering remote port
778 * login.
779 **/
dea3101e 780void
92d7f7b0
JS
781lpfc_unreg_login(struct lpfc_hba *phba, uint16_t vpi, uint32_t rpi,
782 LPFC_MBOXQ_t * pmb)
dea3101e
JB
783{
784 MAILBOX_t *mb;
785
04c68496 786 mb = &pmb->u.mb;
dea3101e
JB
787 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
788
789 mb->un.varUnregLogin.rpi = (uint16_t) rpi;
790 mb->un.varUnregLogin.rsvd1 = 0;
04c68496 791 mb->un.varUnregLogin.vpi = vpi + phba->vpi_base;
dea3101e
JB
792
793 mb->mbxCommand = MBX_UNREG_LOGIN;
794 mb->mbxOwner = OWN_HOST;
04c68496 795
dea3101e
JB
796 return;
797}
798
e59058c4 799/**
3621a710 800 * lpfc_reg_vpi - Prepare a mailbox command for registering vport identifier
e59058c4
JS
801 * @phba: pointer to lpfc hba data structure.
802 * @vpi: virtual N_Port identifier.
803 * @sid: Fibre Channel S_ID (N_Port_ID assigned to a virtual N_Port).
804 * @pmb: pointer to the driver internal queue element for mailbox command.
805 *
806 * The registration vport identifier mailbox command is used to activate a
807 * virtual N_Port after it has acquired an N_Port_ID. The HBA validates the
808 * N_Port_ID against the information in the selected virtual N_Port context
809 * block and marks it active to allow normal processing of IOCB commands and
810 * received unsolicited exchanges.
811 *
812 * This routine prepares the mailbox command for registering a virtual N_Port.
813 **/
92d7f7b0 814void
04c68496 815lpfc_reg_vpi(struct lpfc_vport *vport, LPFC_MBOXQ_t *pmb)
92d7f7b0 816{
04c68496 817 MAILBOX_t *mb = &pmb->u.mb;
92d7f7b0
JS
818
819 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
820
04c68496
JS
821 mb->un.varRegVpi.vpi = vport->vpi + vport->phba->vpi_base;
822 mb->un.varRegVpi.sid = vport->fc_myDID;
823 mb->un.varRegVpi.vfi = vport->vfi + vport->phba->vfi_base;
c868595d
JS
824 memcpy(mb->un.varRegVpi.wwn, &vport->fc_portname,
825 sizeof(struct lpfc_name));
826 mb->un.varRegVpi.wwn[0] = cpu_to_le32(mb->un.varRegVpi.wwn[0]);
827 mb->un.varRegVpi.wwn[1] = cpu_to_le32(mb->un.varRegVpi.wwn[1]);
92d7f7b0
JS
828
829 mb->mbxCommand = MBX_REG_VPI;
830 mb->mbxOwner = OWN_HOST;
831 return;
832
833}
834
e59058c4 835/**
3621a710 836 * lpfc_unreg_vpi - Prepare a mailbox command for unregistering vport id
e59058c4
JS
837 * @phba: pointer to lpfc hba data structure.
838 * @vpi: virtual N_Port identifier.
839 * @pmb: pointer to the driver internal queue element for mailbox command.
840 *
841 * The unregistration vport identifier mailbox command is used to inactivate
842 * a virtual N_Port. The driver must have logged out and unregistered all
843 * remote N_Ports to abort any activity on the virtual N_Port. The HBA will
844 * unregisters any default RPIs associated with the specified vpi, aborting
845 * any active exchanges. The HBA will post the mailbox response after making
846 * the virtual N_Port inactive.
847 *
848 * This routine prepares the mailbox command for unregistering a virtual
849 * N_Port.
850 **/
92d7f7b0
JS
851void
852lpfc_unreg_vpi(struct lpfc_hba *phba, uint16_t vpi, LPFC_MBOXQ_t *pmb)
853{
04c68496 854 MAILBOX_t *mb = &pmb->u.mb;
92d7f7b0
JS
855 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
856
6669f9bb
JS
857 if (phba->sli_rev < LPFC_SLI_REV4)
858 mb->un.varUnregVpi.vpi = vpi + phba->vpi_base;
859 else
860 mb->un.varUnregVpi.sli4_vpi = vpi + phba->vpi_base;
92d7f7b0
JS
861
862 mb->mbxCommand = MBX_UNREG_VPI;
863 mb->mbxOwner = OWN_HOST;
864 return;
865
866}
867
e59058c4 868/**
3621a710 869 * lpfc_config_pcb_setup - Set up IOCB rings in the Port Control Block (PCB)
e59058c4
JS
870 * @phba: pointer to lpfc hba data structure.
871 *
872 * This routine sets up and initializes the IOCB rings in the Port Control
873 * Block (PCB).
874 **/
dea3101e
JB
875static void
876lpfc_config_pcb_setup(struct lpfc_hba * phba)
877{
878 struct lpfc_sli *psli = &phba->sli;
879 struct lpfc_sli_ring *pring;
34b02dcd 880 PCB_t *pcbp = phba->pcb;
dea3101e
JB
881 dma_addr_t pdma_addr;
882 uint32_t offset;
2e0fef85 883 uint32_t iocbCnt = 0;
dea3101e
JB
884 int i;
885
dea3101e
JB
886 pcbp->maxRing = (psli->num_rings - 1);
887
dea3101e
JB
888 for (i = 0; i < psli->num_rings; i++) {
889 pring = &psli->ring[i];
2e0fef85 890
ed957684 891 pring->sizeCiocb = phba->sli_rev == 3 ? SLI3_IOCB_CMD_SIZE:
92d7f7b0 892 SLI2_IOCB_CMD_SIZE;
ed957684 893 pring->sizeRiocb = phba->sli_rev == 3 ? SLI3_IOCB_RSP_SIZE:
92d7f7b0 894 SLI2_IOCB_RSP_SIZE;
dea3101e
JB
895 /* A ring MUST have both cmd and rsp entries defined to be
896 valid */
897 if ((pring->numCiocb == 0) || (pring->numRiocb == 0)) {
898 pcbp->rdsc[i].cmdEntries = 0;
899 pcbp->rdsc[i].rspEntries = 0;
900 pcbp->rdsc[i].cmdAddrHigh = 0;
901 pcbp->rdsc[i].rspAddrHigh = 0;
902 pcbp->rdsc[i].cmdAddrLow = 0;
903 pcbp->rdsc[i].rspAddrLow = 0;
904 pring->cmdringaddr = NULL;
905 pring->rspringaddr = NULL;
906 continue;
907 }
908 /* Command ring setup for ring */
34b02dcd 909 pring->cmdringaddr = (void *)&phba->IOCBs[iocbCnt];
dea3101e
JB
910 pcbp->rdsc[i].cmdEntries = pring->numCiocb;
911
34b02dcd
JS
912 offset = (uint8_t *) &phba->IOCBs[iocbCnt] -
913 (uint8_t *) phba->slim2p.virt;
914 pdma_addr = phba->slim2p.phys + offset;
dea3101e
JB
915 pcbp->rdsc[i].cmdAddrHigh = putPaddrHigh(pdma_addr);
916 pcbp->rdsc[i].cmdAddrLow = putPaddrLow(pdma_addr);
917 iocbCnt += pring->numCiocb;
918
919 /* Response ring setup for ring */
34b02dcd 920 pring->rspringaddr = (void *) &phba->IOCBs[iocbCnt];
dea3101e
JB
921
922 pcbp->rdsc[i].rspEntries = pring->numRiocb;
34b02dcd
JS
923 offset = (uint8_t *)&phba->IOCBs[iocbCnt] -
924 (uint8_t *)phba->slim2p.virt;
925 pdma_addr = phba->slim2p.phys + offset;
dea3101e
JB
926 pcbp->rdsc[i].rspAddrHigh = putPaddrHigh(pdma_addr);
927 pcbp->rdsc[i].rspAddrLow = putPaddrLow(pdma_addr);
928 iocbCnt += pring->numRiocb;
929 }
930}
931
e59058c4 932/**
3621a710 933 * lpfc_read_rev - Prepare a mailbox command for reading HBA revision
e59058c4
JS
934 * @phba: pointer to lpfc hba data structure.
935 * @pmb: pointer to the driver internal queue element for mailbox command.
936 *
937 * The read revision mailbox command is used to read the revision levels of
938 * the HBA components. These components include hardware units, resident
939 * firmware, and available firmware. HBAs that supports SLI-3 mode of
940 * operation provide different response information depending on the version
941 * requested by the driver.
942 *
943 * This routine prepares the mailbox command for reading HBA revision
944 * information.
945 **/
dea3101e
JB
946void
947lpfc_read_rev(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
948{
04c68496 949 MAILBOX_t *mb = &pmb->u.mb;
dea3101e
JB
950 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
951 mb->un.varRdRev.cv = 1;
ed957684 952 mb->un.varRdRev.v3req = 1; /* Request SLI3 info */
dea3101e
JB
953 mb->mbxCommand = MBX_READ_REV;
954 mb->mbxOwner = OWN_HOST;
955 return;
956}
957
e59058c4 958/**
3621a710 959 * lpfc_build_hbq_profile2 - Set up the HBQ Selection Profile 2
e59058c4
JS
960 * @hbqmb: pointer to the HBQ configuration data structure in mailbox command.
961 * @hbq_desc: pointer to the HBQ selection profile descriptor.
962 *
963 * The Host Buffer Queue (HBQ) Selection Profile 2 specifies that the HBA
964 * tests the incoming frames' R_CTL/TYPE fields with works 10:15 and performs
965 * the Sequence Length Test using the fields in the Selection Profile 2
966 * extension in words 20:31.
967 **/
ed957684
JS
968static void
969lpfc_build_hbq_profile2(struct config_hbq_var *hbqmb,
970 struct lpfc_hbq_init *hbq_desc)
971{
972 hbqmb->profiles.profile2.seqlenbcnt = hbq_desc->seqlenbcnt;
973 hbqmb->profiles.profile2.maxlen = hbq_desc->maxlen;
974 hbqmb->profiles.profile2.seqlenoff = hbq_desc->seqlenoff;
975}
976
e59058c4 977/**
3621a710 978 * lpfc_build_hbq_profile3 - Set up the HBQ Selection Profile 3
e59058c4
JS
979 * @hbqmb: pointer to the HBQ configuration data structure in mailbox command.
980 * @hbq_desc: pointer to the HBQ selection profile descriptor.
981 *
982 * The Host Buffer Queue (HBQ) Selection Profile 3 specifies that the HBA
983 * tests the incoming frame's R_CTL/TYPE fields with words 10:15 and performs
984 * the Sequence Length Test and Byte Field Test using the fields in the
985 * Selection Profile 3 extension in words 20:31.
986 **/
ed957684
JS
987static void
988lpfc_build_hbq_profile3(struct config_hbq_var *hbqmb,
989 struct lpfc_hbq_init *hbq_desc)
990{
991 hbqmb->profiles.profile3.seqlenbcnt = hbq_desc->seqlenbcnt;
992 hbqmb->profiles.profile3.maxlen = hbq_desc->maxlen;
993 hbqmb->profiles.profile3.cmdcodeoff = hbq_desc->cmdcodeoff;
994 hbqmb->profiles.profile3.seqlenoff = hbq_desc->seqlenoff;
995 memcpy(&hbqmb->profiles.profile3.cmdmatch, hbq_desc->cmdmatch,
996 sizeof(hbqmb->profiles.profile3.cmdmatch));
997}
998
e59058c4 999/**
3621a710 1000 * lpfc_build_hbq_profile5 - Set up the HBQ Selection Profile 5
e59058c4
JS
1001 * @hbqmb: pointer to the HBQ configuration data structure in mailbox command.
1002 * @hbq_desc: pointer to the HBQ selection profile descriptor.
1003 *
1004 * The Host Buffer Queue (HBQ) Selection Profile 5 specifies a header HBQ. The
1005 * HBA tests the initial frame of an incoming sequence using the frame's
1006 * R_CTL/TYPE fields with words 10:15 and performs the Sequence Length Test
1007 * and Byte Field Test using the fields in the Selection Profile 5 extension
1008 * words 20:31.
1009 **/
ed957684
JS
1010static void
1011lpfc_build_hbq_profile5(struct config_hbq_var *hbqmb,
1012 struct lpfc_hbq_init *hbq_desc)
1013{
1014 hbqmb->profiles.profile5.seqlenbcnt = hbq_desc->seqlenbcnt;
1015 hbqmb->profiles.profile5.maxlen = hbq_desc->maxlen;
1016 hbqmb->profiles.profile5.cmdcodeoff = hbq_desc->cmdcodeoff;
1017 hbqmb->profiles.profile5.seqlenoff = hbq_desc->seqlenoff;
1018 memcpy(&hbqmb->profiles.profile5.cmdmatch, hbq_desc->cmdmatch,
1019 sizeof(hbqmb->profiles.profile5.cmdmatch));
1020}
1021
e59058c4 1022/**
3621a710 1023 * lpfc_config_hbq - Prepare a mailbox command for configuring an HBQ
e59058c4
JS
1024 * @phba: pointer to lpfc hba data structure.
1025 * @id: HBQ identifier.
1026 * @hbq_desc: pointer to the HBA descriptor data structure.
1027 * @hbq_entry_index: index of the HBQ entry data structures.
1028 * @pmb: pointer to the driver internal queue element for mailbox command.
1029 *
1030 * The configure HBQ (Host Buffer Queue) mailbox command is used to configure
1031 * an HBQ. The configuration binds events that require buffers to a particular
1032 * ring and HBQ based on a selection profile.
1033 *
1034 * This routine prepares the mailbox command for configuring an HBQ.
1035 **/
ed957684 1036void
51ef4c26
JS
1037lpfc_config_hbq(struct lpfc_hba *phba, uint32_t id,
1038 struct lpfc_hbq_init *hbq_desc,
ed957684
JS
1039 uint32_t hbq_entry_index, LPFC_MBOXQ_t *pmb)
1040{
1041 int i;
04c68496 1042 MAILBOX_t *mb = &pmb->u.mb;
ed957684
JS
1043 struct config_hbq_var *hbqmb = &mb->un.varCfgHbq;
1044
1045 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
51ef4c26 1046 hbqmb->hbqId = id;
ed957684
JS
1047 hbqmb->entry_count = hbq_desc->entry_count; /* # entries in HBQ */
1048 hbqmb->recvNotify = hbq_desc->rn; /* Receive
1049 * Notification */
1050 hbqmb->numMask = hbq_desc->mask_count; /* # R_CTL/TYPE masks
1051 * # in words 0-19 */
92d7f7b0 1052 hbqmb->profile = hbq_desc->profile; /* Selection profile:
ed957684
JS
1053 * 0 = all,
1054 * 7 = logentry */
1055 hbqmb->ringMask = hbq_desc->ring_mask; /* Binds HBQ to a ring
1056 * e.g. Ring0=b0001,
1057 * ring2=b0100 */
1058 hbqmb->headerLen = hbq_desc->headerLen; /* 0 if not profile 4
1059 * or 5 */
1060 hbqmb->logEntry = hbq_desc->logEntry; /* Set to 1 if this
1061 * HBQ will be used
1062 * for LogEntry
1063 * buffers */
1064 hbqmb->hbqaddrLow = putPaddrLow(phba->hbqslimp.phys) +
1065 hbq_entry_index * sizeof(struct lpfc_hbq_entry);
1066 hbqmb->hbqaddrHigh = putPaddrHigh(phba->hbqslimp.phys);
1067
1068 mb->mbxCommand = MBX_CONFIG_HBQ;
1069 mb->mbxOwner = OWN_HOST;
1070
92d7f7b0
JS
1071 /* Copy info for profiles 2,3,5. Other
1072 * profiles this area is reserved
1073 */
ed957684
JS
1074 if (hbq_desc->profile == 2)
1075 lpfc_build_hbq_profile2(hbqmb, hbq_desc);
1076 else if (hbq_desc->profile == 3)
1077 lpfc_build_hbq_profile3(hbqmb, hbq_desc);
1078 else if (hbq_desc->profile == 5)
1079 lpfc_build_hbq_profile5(hbqmb, hbq_desc);
1080
1081 /* Return if no rctl / type masks for this HBQ */
1082 if (!hbq_desc->mask_count)
1083 return;
1084
1085 /* Otherwise we setup specific rctl / type masks for this HBQ */
1086 for (i = 0; i < hbq_desc->mask_count; i++) {
1087 hbqmb->hbqMasks[i].tmatch = hbq_desc->hbqMasks[i].tmatch;
1088 hbqmb->hbqMasks[i].tmask = hbq_desc->hbqMasks[i].tmask;
1089 hbqmb->hbqMasks[i].rctlmatch = hbq_desc->hbqMasks[i].rctlmatch;
1090 hbqmb->hbqMasks[i].rctlmask = hbq_desc->hbqMasks[i].rctlmask;
1091 }
1092
1093 return;
1094}
1095
e59058c4 1096/**
3621a710 1097 * lpfc_config_ring - Prepare a mailbox command for configuring an IOCB ring
e59058c4
JS
1098 * @phba: pointer to lpfc hba data structure.
1099 * @ring:
1100 * @pmb: pointer to the driver internal queue element for mailbox command.
1101 *
1102 * The configure ring mailbox command is used to configure an IOCB ring. This
1103 * configuration binds from one to six of HBA RC_CTL/TYPE mask entries to the
1104 * ring. This is used to map incoming sequences to a particular ring whose
1105 * RC_CTL/TYPE mask entry matches that of the sequence. The driver should not
1106 * attempt to configure a ring whose number is greater than the number
1107 * specified in the Port Control Block (PCB). It is an error to issue the
1108 * configure ring command more than once with the same ring number. The HBA
1109 * returns an error if the driver attempts this.
1110 *
1111 * This routine prepares the mailbox command for configuring IOCB ring.
1112 **/
dea3101e
JB
1113void
1114lpfc_config_ring(struct lpfc_hba * phba, int ring, LPFC_MBOXQ_t * pmb)
1115{
1116 int i;
04c68496 1117 MAILBOX_t *mb = &pmb->u.mb;
dea3101e
JB
1118 struct lpfc_sli *psli;
1119 struct lpfc_sli_ring *pring;
1120
1121 memset(pmb, 0, sizeof (LPFC_MBOXQ_t));
1122
1123 mb->un.varCfgRing.ring = ring;
1124 mb->un.varCfgRing.maxOrigXchg = 0;
1125 mb->un.varCfgRing.maxRespXchg = 0;
1126 mb->un.varCfgRing.recvNotify = 1;
1127
1128 psli = &phba->sli;
1129 pring = &psli->ring[ring];
1130 mb->un.varCfgRing.numMask = pring->num_mask;
1131 mb->mbxCommand = MBX_CONFIG_RING;
1132 mb->mbxOwner = OWN_HOST;
1133
1134 /* Is this ring configured for a specific profile */
1135 if (pring->prt[0].profile) {
1136 mb->un.varCfgRing.profile = pring->prt[0].profile;
1137 return;
1138 }
1139
1140 /* Otherwise we setup specific rctl / type masks for this ring */
1141 for (i = 0; i < pring->num_mask; i++) {
1142 mb->un.varCfgRing.rrRegs[i].rval = pring->prt[i].rctl;
6a9c52cf 1143 if (mb->un.varCfgRing.rrRegs[i].rval != FC_RCTL_ELS_REQ)
dea3101e
JB
1144 mb->un.varCfgRing.rrRegs[i].rmask = 0xff;
1145 else
1146 mb->un.varCfgRing.rrRegs[i].rmask = 0xfe;
1147 mb->un.varCfgRing.rrRegs[i].tval = pring->prt[i].type;
1148 mb->un.varCfgRing.rrRegs[i].tmask = 0xff;
1149 }
1150
1151 return;
1152}
1153
e59058c4 1154/**
3621a710 1155 * lpfc_config_port - Prepare a mailbox command for configuring port
e59058c4
JS
1156 * @phba: pointer to lpfc hba data structure.
1157 * @pmb: pointer to the driver internal queue element for mailbox command.
1158 *
1159 * The configure port mailbox command is used to identify the Port Control
1160 * Block (PCB) in the driver memory. After this command is issued, the
1161 * driver must not access the mailbox in the HBA without first resetting
1162 * the HBA. The HBA may copy the PCB information to internal storage for
1163 * subsequent use; the driver can not change the PCB information unless it
1164 * resets the HBA.
1165 *
1166 * This routine prepares the mailbox command for configuring port.
1167 **/
dea3101e 1168void
92d7f7b0 1169lpfc_config_port(struct lpfc_hba *phba, LPFC_MBOXQ_t *pmb)
dea3101e 1170{
ed957684 1171 MAILBOX_t __iomem *mb_slim = (MAILBOX_t __iomem *) phba->MBslimaddr;
04c68496 1172 MAILBOX_t *mb = &pmb->u.mb;
dea3101e
JB
1173 dma_addr_t pdma_addr;
1174 uint32_t bar_low, bar_high;
1175 size_t offset;
4cc2da1d 1176 struct lpfc_hgp hgp;
f91b392c 1177 int i;
ed957684 1178 uint32_t pgp_offset;
dea3101e
JB
1179
1180 memset(pmb, 0, sizeof(LPFC_MBOXQ_t));
1181 mb->mbxCommand = MBX_CONFIG_PORT;
1182 mb->mbxOwner = OWN_HOST;
1183
1184 mb->un.varCfgPort.pcbLen = sizeof(PCB_t);
1185
34b02dcd
JS
1186 offset = (uint8_t *)phba->pcb - (uint8_t *)phba->slim2p.virt;
1187 pdma_addr = phba->slim2p.phys + offset;
dea3101e
JB
1188 mb->un.varCfgPort.pcbLow = putPaddrLow(pdma_addr);
1189 mb->un.varCfgPort.pcbHigh = putPaddrHigh(pdma_addr);
1190
97207482
JS
1191 /* Always Host Group Pointer is in SLIM */
1192 mb->un.varCfgPort.hps = 1;
1193
ed957684
JS
1194 /* If HBA supports SLI=3 ask for it */
1195
04c68496 1196 if (phba->sli_rev == LPFC_SLI_REV3 && phba->vpd.sli3Feat.cerbm) {
e2a0a9d6
JS
1197 if (phba->cfg_enable_bg)
1198 mb->un.varCfgPort.cbg = 1; /* configure BlockGuard */
04c68496 1199 mb->un.varCfgPort.cdss = 1; /* Configure Security */
ed957684 1200 mb->un.varCfgPort.cerbm = 1; /* Request HBQs */
34b02dcd
JS
1201 mb->un.varCfgPort.ccrp = 1; /* Command Ring Polling */
1202 mb->un.varCfgPort.cinb = 1; /* Interrupt Notification Block */
51ef4c26 1203 mb->un.varCfgPort.max_hbq = lpfc_sli_hbq_count();
78b2d852 1204 if (phba->max_vpi && phba->cfg_enable_npiv &&
92d7f7b0 1205 phba->vpd.sli3Feat.cmv) {
04c68496 1206 mb->un.varCfgPort.max_vpi = LPFC_MAX_VPI;
92d7f7b0 1207 mb->un.varCfgPort.cmv = 1;
92d7f7b0
JS
1208 } else
1209 mb->un.varCfgPort.max_vpi = phba->max_vpi = 0;
1210 } else
04c68496 1211 phba->sli_rev = LPFC_SLI_REV2;
92d7f7b0 1212 mb->un.varCfgPort.sli_mode = phba->sli_rev;
ed957684 1213
dea3101e 1214 /* Now setup pcb */
34b02dcd
JS
1215 phba->pcb->type = TYPE_NATIVE_SLI2;
1216 phba->pcb->feature = FEATURE_INITIAL_SLI2;
dea3101e
JB
1217
1218 /* Setup Mailbox pointers */
34b02dcd
JS
1219 phba->pcb->mailBoxSize = sizeof(MAILBOX_t);
1220 offset = (uint8_t *)phba->mbox - (uint8_t *)phba->slim2p.virt;
1221 pdma_addr = phba->slim2p.phys + offset;
1222 phba->pcb->mbAddrHigh = putPaddrHigh(pdma_addr);
1223 phba->pcb->mbAddrLow = putPaddrLow(pdma_addr);
dea3101e
JB
1224
1225 /*
1226 * Setup Host Group ring pointer.
1227 *
1228 * For efficiency reasons, the ring get/put pointers can be
1229 * placed in adapter memory (SLIM) rather than in host memory.
1230 * This allows firmware to avoid PCI reads/writes when updating
1231 * and checking pointers.
1232 *
1233 * The firmware recognizes the use of SLIM memory by comparing
1234 * the address of the get/put pointers structure with that of
1235 * the SLIM BAR (BAR0).
1236 *
1237 * Caution: be sure to use the PCI config space value of BAR0/BAR1
1238 * (the hardware's view of the base address), not the OS's
1239 * value of pci_resource_start() as the OS value may be a cookie
1240 * for ioremap/iomap.
1241 */
1242
1243
1244 pci_read_config_dword(phba->pcidev, PCI_BASE_ADDRESS_0, &bar_low);
1245 pci_read_config_dword(phba->pcidev, PCI_BASE_ADDRESS_1, &bar_high);
1246
ed957684
JS
1247 /*
1248 * Set up HGP - Port Memory
1249 *
1250 * The port expects the host get/put pointers to reside in memory
1251 * following the "non-diagnostic" mode mailbox (32 words, 0x80 bytes)
1252 * area of SLIM. In SLI-2 mode, there's an additional 16 reserved
1253 * words (0x40 bytes). This area is not reserved if HBQs are
1254 * configured in SLI-3.
1255 *
1256 * CR0Put - SLI2(no HBQs) = 0xc0, With HBQs = 0x80
1257 * RR0Get 0xc4 0x84
1258 * CR1Put 0xc8 0x88
1259 * RR1Get 0xcc 0x8c
1260 * CR2Put 0xd0 0x90
1261 * RR2Get 0xd4 0x94
1262 * CR3Put 0xd8 0x98
1263 * RR3Get 0xdc 0x9c
1264 *
1265 * Reserved 0xa0-0xbf
1266 * If HBQs configured:
1267 * HBQ 0 Put ptr 0xc0
1268 * HBQ 1 Put ptr 0xc4
1269 * HBQ 2 Put ptr 0xc8
1270 * ......
1271 * HBQ(M-1)Put Pointer 0xc0+(M-1)*4
1272 *
1273 */
1274
1275 if (phba->sli_rev == 3) {
1276 phba->host_gp = &mb_slim->us.s3.host[0];
1277 phba->hbq_put = &mb_slim->us.s3.hbq_put[0];
1278 } else {
1279 phba->host_gp = &mb_slim->us.s2.host[0];
1280 phba->hbq_put = NULL;
1281 }
dea3101e
JB
1282
1283 /* mask off BAR0's flag bits 0 - 3 */
34b02dcd
JS
1284 phba->pcb->hgpAddrLow = (bar_low & PCI_BASE_ADDRESS_MEM_MASK) +
1285 (void __iomem *)phba->host_gp -
ed957684 1286 (void __iomem *)phba->MBslimaddr;
dea3101e 1287 if (bar_low & PCI_BASE_ADDRESS_MEM_TYPE_64)
34b02dcd 1288 phba->pcb->hgpAddrHigh = bar_high;
dea3101e 1289 else
34b02dcd 1290 phba->pcb->hgpAddrHigh = 0;
dea3101e 1291 /* write HGP data to SLIM at the required longword offset */
4cc2da1d 1292 memset(&hgp, 0, sizeof(struct lpfc_hgp));
f91b392c
JSEC
1293
1294 for (i=0; i < phba->sli.num_rings; i++) {
ed957684
JS
1295 lpfc_memcpy_to_slim(phba->host_gp + i, &hgp,
1296 sizeof(*phba->host_gp));
f91b392c 1297 }
dea3101e 1298
8f34f4ce
JS
1299 /* Setup Port Group offset */
1300 if (phba->sli_rev == 3)
34b02dcd
JS
1301 pgp_offset = offsetof(struct lpfc_sli2_slim,
1302 mbx.us.s3_pgp.port);
8f34f4ce 1303 else
34b02dcd
JS
1304 pgp_offset = offsetof(struct lpfc_sli2_slim, mbx.us.s2.port);
1305 pdma_addr = phba->slim2p.phys + pgp_offset;
1306 phba->pcb->pgpAddrHigh = putPaddrHigh(pdma_addr);
1307 phba->pcb->pgpAddrLow = putPaddrLow(pdma_addr);
dea3101e
JB
1308
1309 /* Use callback routine to setp rings in the pcb */
1310 lpfc_config_pcb_setup(phba);
1311
1312 /* special handling for LC HBAs */
1313 if (lpfc_is_LC_HBA(phba->pcidev->device)) {
1314 uint32_t hbainit[5];
1315
1316 lpfc_hba_init(phba, hbainit);
1317
1318 memcpy(&mb->un.varCfgPort.hbainit, hbainit, 20);
1319 }
1320
1321 /* Swap PCB if needed */
34b02dcd 1322 lpfc_sli_pcimem_bcopy(phba->pcb, phba->pcb, sizeof(PCB_t));
dea3101e
JB
1323}
1324
e59058c4 1325/**
3621a710 1326 * lpfc_kill_board - Prepare a mailbox command for killing board
e59058c4
JS
1327 * @phba: pointer to lpfc hba data structure.
1328 * @pmb: pointer to the driver internal queue element for mailbox command.
1329 *
1330 * The kill board mailbox command is used to tell firmware to perform a
1331 * graceful shutdown of a channel on a specified board to prepare for reset.
1332 * When the kill board mailbox command is received, the ER3 bit is set to 1
1333 * in the Host Status register and the ER Attention bit is set to 1 in the
1334 * Host Attention register of the HBA function that received the kill board
1335 * command.
1336 *
1337 * This routine prepares the mailbox command for killing the board in
1338 * preparation for a graceful shutdown.
1339 **/
41415862
JW
1340void
1341lpfc_kill_board(struct lpfc_hba * phba, LPFC_MBOXQ_t * pmb)
1342{
04c68496 1343 MAILBOX_t *mb = &pmb->u.mb;
41415862
JW
1344
1345 memset(pmb, 0, sizeof(LPFC_MBOXQ_t));
1346 mb->mbxCommand = MBX_KILL_BOARD;
1347 mb->mbxOwner = OWN_HOST;
1348 return;
1349}
1350
e59058c4 1351/**
3621a710 1352 * lpfc_mbox_put - Put a mailbox cmd into the tail of driver's mailbox queue
e59058c4
JS
1353 * @phba: pointer to lpfc hba data structure.
1354 * @mbq: pointer to the driver internal queue element for mailbox command.
1355 *
1356 * Driver maintains a internal mailbox command queue implemented as a linked
1357 * list. When a mailbox command is issued, it shall be put into the mailbox
1358 * command queue such that they shall be processed orderly as HBA can process
1359 * one mailbox command at a time.
1360 **/
dea3101e
JB
1361void
1362lpfc_mbox_put(struct lpfc_hba * phba, LPFC_MBOXQ_t * mbq)
1363{
1364 struct lpfc_sli *psli;
1365
1366 psli = &phba->sli;
1367
1368 list_add_tail(&mbq->list, &psli->mboxq);
1369
1370 psli->mboxq_cnt++;
1371
1372 return;
1373}
1374
e59058c4 1375/**
3621a710 1376 * lpfc_mbox_get - Remove a mailbox cmd from the head of driver's mailbox queue
e59058c4
JS
1377 * @phba: pointer to lpfc hba data structure.
1378 *
1379 * Driver maintains a internal mailbox command queue implemented as a linked
1380 * list. When a mailbox command is issued, it shall be put into the mailbox
1381 * command queue such that they shall be processed orderly as HBA can process
1382 * one mailbox command at a time. After HBA finished processing a mailbox
1383 * command, the driver will remove a pending mailbox command from the head of
1384 * the mailbox command queue and send to the HBA for processing.
1385 *
1386 * Return codes
1387 * pointer to the driver internal queue element for mailbox command.
1388 **/
dea3101e
JB
1389LPFC_MBOXQ_t *
1390lpfc_mbox_get(struct lpfc_hba * phba)
1391{
1392 LPFC_MBOXQ_t *mbq = NULL;
1393 struct lpfc_sli *psli = &phba->sli;
1394
2e0fef85 1395 list_remove_head((&psli->mboxq), mbq, LPFC_MBOXQ_t, list);
92d7f7b0 1396 if (mbq)
dea3101e 1397 psli->mboxq_cnt--;
dea3101e
JB
1398
1399 return mbq;
1400}
a309a6b6 1401
04c68496
JS
1402/**
1403 * __lpfc_mbox_cmpl_put - Put mailbox cmd into mailbox cmd complete list
1404 * @phba: pointer to lpfc hba data structure.
1405 * @mbq: pointer to the driver internal queue element for mailbox command.
1406 *
1407 * This routine put the completed mailbox command into the mailbox command
1408 * complete list. This is the unlocked version of the routine. The mailbox
1409 * complete list is used by the driver worker thread to process mailbox
1410 * complete callback functions outside the driver interrupt handler.
1411 **/
1412void
1413__lpfc_mbox_cmpl_put(struct lpfc_hba *phba, LPFC_MBOXQ_t *mbq)
1414{
1415 list_add_tail(&mbq->list, &phba->sli.mboxq_cmpl);
1416}
1417
e59058c4 1418/**
3621a710 1419 * lpfc_mbox_cmpl_put - Put mailbox command into mailbox command complete list
e59058c4
JS
1420 * @phba: pointer to lpfc hba data structure.
1421 * @mbq: pointer to the driver internal queue element for mailbox command.
1422 *
1423 * This routine put the completed mailbox command into the mailbox command
04c68496
JS
1424 * complete list. This is the locked version of the routine. The mailbox
1425 * complete list is used by the driver worker thread to process mailbox
1426 * complete callback functions outside the driver interrupt handler.
e59058c4 1427 **/
92d7f7b0 1428void
04c68496 1429lpfc_mbox_cmpl_put(struct lpfc_hba *phba, LPFC_MBOXQ_t *mbq)
92d7f7b0 1430{
5b75da2f
JS
1431 unsigned long iflag;
1432
b1c11812 1433 /* This function expects to be called from interrupt context */
5b75da2f 1434 spin_lock_irqsave(&phba->hbalock, iflag);
04c68496 1435 __lpfc_mbox_cmpl_put(phba, mbq);
5b75da2f 1436 spin_unlock_irqrestore(&phba->hbalock, iflag);
92d7f7b0
JS
1437 return;
1438}
1439
04c68496
JS
1440/**
1441 * lpfc_mbox_cmd_check - Check the validality of a mailbox command
1442 * @phba: pointer to lpfc hba data structure.
1443 * @mboxq: pointer to the driver internal queue element for mailbox command.
1444 *
1445 * This routine is to check whether a mailbox command is valid to be issued.
1446 * This check will be performed by both the mailbox issue API when a client
1447 * is to issue a mailbox command to the mailbox transport.
1448 *
1449 * Return 0 - pass the check, -ENODEV - fail the check
1450 **/
1451int
1452lpfc_mbox_cmd_check(struct lpfc_hba *phba, LPFC_MBOXQ_t *mboxq)
1453{
1454 /* Mailbox command that have a completion handler must also have a
1455 * vport specified.
1456 */
1457 if (mboxq->mbox_cmpl && mboxq->mbox_cmpl != lpfc_sli_def_mbox_cmpl &&
1458 mboxq->mbox_cmpl != lpfc_sli_wake_mbox_wait) {
1459 if (!mboxq->vport) {
1460 lpfc_printf_log(phba, KERN_ERR, LOG_MBOX | LOG_VPORT,
1461 "1814 Mbox x%x failed, no vport\n",
1462 mboxq->u.mb.mbxCommand);
1463 dump_stack();
1464 return -ENODEV;
1465 }
1466 }
1467 return 0;
1468}
1469
1470/**
1471 * lpfc_mbox_dev_check - Check the device state for issuing a mailbox command
1472 * @phba: pointer to lpfc hba data structure.
1473 *
1474 * This routine is to check whether the HBA device is ready for posting a
1475 * mailbox command. It is used by the mailbox transport API at the time the
1476 * to post a mailbox command to the device.
1477 *
1478 * Return 0 - pass the check, -ENODEV - fail the check
1479 **/
1480int
1481lpfc_mbox_dev_check(struct lpfc_hba *phba)
1482{
1483 /* If the PCI channel is in offline state, do not issue mbox */
1484 if (unlikely(pci_channel_offline(phba->pcidev)))
1485 return -ENODEV;
1486
1487 /* If the HBA is in error state, do not issue mbox */
1488 if (phba->link_state == LPFC_HBA_ERROR)
1489 return -ENODEV;
1490
1491 return 0;
1492}
1493
e59058c4 1494/**
3621a710 1495 * lpfc_mbox_tmo_val - Retrieve mailbox command timeout value
e59058c4
JS
1496 * @phba: pointer to lpfc hba data structure.
1497 * @cmd: mailbox command code.
1498 *
1499 * This routine retrieves the proper timeout value according to the mailbox
1500 * command code.
1501 *
1502 * Return codes
1503 * Timeout value to be used for the given mailbox command
1504 **/
a309a6b6
JS
1505int
1506lpfc_mbox_tmo_val(struct lpfc_hba *phba, int cmd)
1507{
1508 switch (cmd) {
1509 case MBX_WRITE_NV: /* 0x03 */
1510 case MBX_UPDATE_CFG: /* 0x1B */
1511 case MBX_DOWN_LOAD: /* 0x1C */
1512 case MBX_DEL_LD_ENTRY: /* 0x1D */
1513 case MBX_LOAD_AREA: /* 0x81 */
09372820 1514 case MBX_WRITE_WWN: /* 0x98 */
a309a6b6
JS
1515 case MBX_LOAD_EXP_ROM: /* 0x9C */
1516 return LPFC_MBOX_TMO_FLASH_CMD;
04c68496
JS
1517 case MBX_SLI4_CONFIG: /* 0x9b */
1518 return LPFC_MBOX_SLI4_CONFIG_TMO;
a309a6b6
JS
1519 }
1520 return LPFC_MBOX_TMO;
1521}
04c68496
JS
1522
1523/**
1524 * lpfc_sli4_mbx_sge_set - Set a sge entry in non-embedded mailbox command
1525 * @mbox: pointer to lpfc mbox command.
1526 * @sgentry: sge entry index.
1527 * @phyaddr: physical address for the sge
1528 * @length: Length of the sge.
1529 *
1530 * This routine sets up an entry in the non-embedded mailbox command at the sge
1531 * index location.
1532 **/
1533void
1534lpfc_sli4_mbx_sge_set(struct lpfcMboxq *mbox, uint32_t sgentry,
1535 dma_addr_t phyaddr, uint32_t length)
1536{
1537 struct lpfc_mbx_nembed_cmd *nembed_sge;
1538
1539 nembed_sge = (struct lpfc_mbx_nembed_cmd *)
1540 &mbox->u.mqe.un.nembed_cmd;
1541 nembed_sge->sge[sgentry].pa_lo = putPaddrLow(phyaddr);
1542 nembed_sge->sge[sgentry].pa_hi = putPaddrHigh(phyaddr);
1543 nembed_sge->sge[sgentry].length = length;
1544}
1545
1546/**
1547 * lpfc_sli4_mbx_sge_get - Get a sge entry from non-embedded mailbox command
1548 * @mbox: pointer to lpfc mbox command.
1549 * @sgentry: sge entry index.
1550 *
1551 * This routine gets an entry from the non-embedded mailbox command at the sge
1552 * index location.
1553 **/
1554void
1555lpfc_sli4_mbx_sge_get(struct lpfcMboxq *mbox, uint32_t sgentry,
1556 struct lpfc_mbx_sge *sge)
1557{
1558 struct lpfc_mbx_nembed_cmd *nembed_sge;
1559
1560 nembed_sge = (struct lpfc_mbx_nembed_cmd *)
1561 &mbox->u.mqe.un.nembed_cmd;
1562 sge->pa_lo = nembed_sge->sge[sgentry].pa_lo;
1563 sge->pa_hi = nembed_sge->sge[sgentry].pa_hi;
1564 sge->length = nembed_sge->sge[sgentry].length;
1565}
1566
1567/**
1568 * lpfc_sli4_mbox_cmd_free - Free a sli4 mailbox command
1569 * @phba: pointer to lpfc hba data structure.
1570 * @mbox: pointer to lpfc mbox command.
1571 *
1572 * This routine frees SLI4 specific mailbox command for sending IOCTL command.
1573 **/
1574void
1575lpfc_sli4_mbox_cmd_free(struct lpfc_hba *phba, struct lpfcMboxq *mbox)
1576{
1577 struct lpfc_mbx_sli4_config *sli4_cfg;
1578 struct lpfc_mbx_sge sge;
1579 dma_addr_t phyaddr;
1580 uint32_t sgecount, sgentry;
1581
1582 sli4_cfg = &mbox->u.mqe.un.sli4_config;
1583
1584 /* For embedded mbox command, just free the mbox command */
1585 if (bf_get(lpfc_mbox_hdr_emb, &sli4_cfg->header.cfg_mhdr)) {
1586 mempool_free(mbox, phba->mbox_mem_pool);
1587 return;
1588 }
1589
1590 /* For non-embedded mbox command, we need to free the pages first */
1591 sgecount = bf_get(lpfc_mbox_hdr_sge_cnt, &sli4_cfg->header.cfg_mhdr);
1592 /* There is nothing we can do if there is no sge address array */
1593 if (unlikely(!mbox->sge_array)) {
1594 mempool_free(mbox, phba->mbox_mem_pool);
1595 return;
1596 }
1597 /* Each non-embedded DMA memory was allocated in the length of a page */
1598 for (sgentry = 0; sgentry < sgecount; sgentry++) {
1599 lpfc_sli4_mbx_sge_get(mbox, sgentry, &sge);
1600 phyaddr = getPaddr(sge.pa_hi, sge.pa_lo);
1601 dma_free_coherent(&phba->pcidev->dev, PAGE_SIZE,
1602 mbox->sge_array->addr[sgentry], phyaddr);
1603 }
1604 /* Free the sge address array memory */
1605 kfree(mbox->sge_array);
1606 /* Finally, free the mailbox command itself */
1607 mempool_free(mbox, phba->mbox_mem_pool);
1608}
1609
1610/**
1611 * lpfc_sli4_config - Initialize the SLI4 Config Mailbox command
1612 * @phba: pointer to lpfc hba data structure.
1613 * @mbox: pointer to lpfc mbox command.
1614 * @subsystem: The sli4 config sub mailbox subsystem.
1615 * @opcode: The sli4 config sub mailbox command opcode.
1616 * @length: Length of the sli4 config mailbox command.
1617 *
1618 * This routine sets up the header fields of SLI4 specific mailbox command
1619 * for sending IOCTL command.
1620 *
1621 * Return: the actual length of the mbox command allocated (mostly useful
1622 * for none embedded mailbox command).
1623 **/
1624int
1625lpfc_sli4_config(struct lpfc_hba *phba, struct lpfcMboxq *mbox,
1626 uint8_t subsystem, uint8_t opcode, uint32_t length, bool emb)
1627{
1628 struct lpfc_mbx_sli4_config *sli4_config;
1629 union lpfc_sli4_cfg_shdr *cfg_shdr = NULL;
1630 uint32_t alloc_len;
1631 uint32_t resid_len;
1632 uint32_t pagen, pcount;
1633 void *viraddr;
1634 dma_addr_t phyaddr;
1635
1636 /* Set up SLI4 mailbox command header fields */
1637 memset(mbox, 0, sizeof(*mbox));
1638 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_SLI4_CONFIG);
1639
1640 /* Set up SLI4 ioctl command header fields */
1641 sli4_config = &mbox->u.mqe.un.sli4_config;
1642
1643 /* Setup for the embedded mbox command */
1644 if (emb) {
1645 /* Set up main header fields */
1646 bf_set(lpfc_mbox_hdr_emb, &sli4_config->header.cfg_mhdr, 1);
1647 sli4_config->header.cfg_mhdr.payload_length =
1648 LPFC_MBX_CMD_HDR_LENGTH + length;
1649 /* Set up sub-header fields following main header */
1650 bf_set(lpfc_mbox_hdr_opcode,
1651 &sli4_config->header.cfg_shdr.request, opcode);
1652 bf_set(lpfc_mbox_hdr_subsystem,
1653 &sli4_config->header.cfg_shdr.request, subsystem);
1654 sli4_config->header.cfg_shdr.request.request_length = length;
1655 return length;
1656 }
1657
1658 /* Setup for the none-embedded mbox command */
1659 pcount = (PAGE_ALIGN(length))/PAGE_SIZE;
1660 pcount = (pcount > LPFC_SLI4_MBX_SGE_MAX_PAGES) ?
1661 LPFC_SLI4_MBX_SGE_MAX_PAGES : pcount;
1662 /* Allocate record for keeping SGE virtual addresses */
1663 mbox->sge_array = kmalloc(sizeof(struct lpfc_mbx_nembed_sge_virt),
1664 GFP_KERNEL);
6a9c52cf
JS
1665 if (!mbox->sge_array) {
1666 lpfc_printf_log(phba, KERN_ERR, LOG_MBOX,
1667 "2527 Failed to allocate non-embedded SGE "
1668 "array.\n");
04c68496 1669 return 0;
6a9c52cf 1670 }
04c68496
JS
1671 for (pagen = 0, alloc_len = 0; pagen < pcount; pagen++) {
1672 /* The DMA memory is always allocated in the length of a
1673 * page even though the last SGE might not fill up to a
1674 * page, this is used as a priori size of PAGE_SIZE for
1675 * the later DMA memory free.
1676 */
1677 viraddr = dma_alloc_coherent(&phba->pcidev->dev, PAGE_SIZE,
1678 &phyaddr, GFP_KERNEL);
1679 /* In case of malloc fails, proceed with whatever we have */
1680 if (!viraddr)
1681 break;
d11e31dd 1682 memset(viraddr, 0, PAGE_SIZE);
04c68496
JS
1683 mbox->sge_array->addr[pagen] = viraddr;
1684 /* Keep the first page for later sub-header construction */
1685 if (pagen == 0)
1686 cfg_shdr = (union lpfc_sli4_cfg_shdr *)viraddr;
1687 resid_len = length - alloc_len;
1688 if (resid_len > PAGE_SIZE) {
1689 lpfc_sli4_mbx_sge_set(mbox, pagen, phyaddr,
1690 PAGE_SIZE);
1691 alloc_len += PAGE_SIZE;
1692 } else {
1693 lpfc_sli4_mbx_sge_set(mbox, pagen, phyaddr,
1694 resid_len);
1695 alloc_len = length;
1696 }
1697 }
1698
1699 /* Set up main header fields in mailbox command */
1700 sli4_config->header.cfg_mhdr.payload_length = alloc_len;
1701 bf_set(lpfc_mbox_hdr_sge_cnt, &sli4_config->header.cfg_mhdr, pagen);
1702
1703 /* Set up sub-header fields into the first page */
1704 if (pagen > 0) {
1705 bf_set(lpfc_mbox_hdr_opcode, &cfg_shdr->request, opcode);
1706 bf_set(lpfc_mbox_hdr_subsystem, &cfg_shdr->request, subsystem);
1707 cfg_shdr->request.request_length =
1708 alloc_len - sizeof(union lpfc_sli4_cfg_shdr);
1709 }
1710 /* The sub-header is in DMA memory, which needs endian converstion */
72100cc4
JS
1711 if (cfg_shdr)
1712 lpfc_sli_pcimem_bcopy(cfg_shdr, cfg_shdr,
04c68496
JS
1713 sizeof(union lpfc_sli4_cfg_shdr));
1714
1715 return alloc_len;
1716}
1717
1718/**
1719 * lpfc_sli4_mbox_opcode_get - Get the opcode from a sli4 mailbox command
1720 * @phba: pointer to lpfc hba data structure.
1721 * @mbox: pointer to lpfc mbox command.
1722 *
1723 * This routine gets the opcode from a SLI4 specific mailbox command for
1724 * sending IOCTL command. If the mailbox command is not MBX_SLI4_CONFIG
1725 * (0x9B) or if the IOCTL sub-header is not present, opcode 0x0 shall be
1726 * returned.
1727 **/
1728uint8_t
1729lpfc_sli4_mbox_opcode_get(struct lpfc_hba *phba, struct lpfcMboxq *mbox)
1730{
1731 struct lpfc_mbx_sli4_config *sli4_cfg;
1732 union lpfc_sli4_cfg_shdr *cfg_shdr;
1733
1734 if (mbox->u.mb.mbxCommand != MBX_SLI4_CONFIG)
1735 return 0;
1736 sli4_cfg = &mbox->u.mqe.un.sli4_config;
1737
1738 /* For embedded mbox command, get opcode from embedded sub-header*/
1739 if (bf_get(lpfc_mbox_hdr_emb, &sli4_cfg->header.cfg_mhdr)) {
1740 cfg_shdr = &mbox->u.mqe.un.sli4_config.header.cfg_shdr;
1741 return bf_get(lpfc_mbox_hdr_opcode, &cfg_shdr->request);
1742 }
1743
1744 /* For non-embedded mbox command, get opcode from first dma page */
1745 if (unlikely(!mbox->sge_array))
1746 return 0;
1747 cfg_shdr = (union lpfc_sli4_cfg_shdr *)mbox->sge_array->addr[0];
1748 return bf_get(lpfc_mbox_hdr_opcode, &cfg_shdr->request);
1749}
1750
ecfd03c6 1751/**
0c9ab6f5 1752 * lpfc_sli4_mbx_read_fcf_rec - Allocate and construct read fcf mbox cmd
ecfd03c6
JS
1753 * @phba: pointer to lpfc hba data structure.
1754 * @fcf_index: index to fcf table.
1755 *
1756 * This routine routine allocates and constructs non-embedded mailbox command
1757 * for reading a FCF table entry refered by @fcf_index.
1758 *
1759 * Return: pointer to the mailbox command constructed if successful, otherwise
1760 * NULL.
1761 **/
1762int
0c9ab6f5
JS
1763lpfc_sli4_mbx_read_fcf_rec(struct lpfc_hba *phba,
1764 struct lpfcMboxq *mboxq,
1765 uint16_t fcf_index)
ecfd03c6
JS
1766{
1767 void *virt_addr;
1768 dma_addr_t phys_addr;
1769 uint8_t *bytep;
1770 struct lpfc_mbx_sge sge;
1771 uint32_t alloc_len, req_len;
1772 struct lpfc_mbx_read_fcf_tbl *read_fcf;
1773
1774 if (!mboxq)
1775 return -ENOMEM;
1776
1777 req_len = sizeof(struct fcf_record) +
1778 sizeof(union lpfc_sli4_cfg_shdr) + 2 * sizeof(uint32_t);
1779
1780 /* Set up READ_FCF SLI4_CONFIG mailbox-ioctl command */
1781 alloc_len = lpfc_sli4_config(phba, mboxq, LPFC_MBOX_SUBSYSTEM_FCOE,
1782 LPFC_MBOX_OPCODE_FCOE_READ_FCF_TABLE, req_len,
1783 LPFC_SLI4_MBX_NEMBED);
1784
1785 if (alloc_len < req_len) {
1786 lpfc_printf_log(phba, KERN_ERR, LOG_MBOX,
1787 "0291 Allocated DMA memory size (x%x) is "
1788 "less than the requested DMA memory "
1789 "size (x%x)\n", alloc_len, req_len);
1790 return -ENOMEM;
1791 }
1792
1793 /* Get the first SGE entry from the non-embedded DMA memory. This
1794 * routine only uses a single SGE.
1795 */
1796 lpfc_sli4_mbx_sge_get(mboxq, 0, &sge);
1797 phys_addr = getPaddr(sge.pa_hi, sge.pa_lo);
1798 virt_addr = mboxq->sge_array->addr[0];
1799 read_fcf = (struct lpfc_mbx_read_fcf_tbl *)virt_addr;
1800
1801 /* Set up command fields */
1802 bf_set(lpfc_mbx_read_fcf_tbl_indx, &read_fcf->u.request, fcf_index);
1803 /* Perform necessary endian conversion */
1804 bytep = virt_addr + sizeof(union lpfc_sli4_cfg_shdr);
1805 lpfc_sli_pcimem_bcopy(bytep, bytep, sizeof(uint32_t));
1806
1807 return 0;
1808}
1809
04c68496
JS
1810/**
1811 * lpfc_request_features: Configure SLI4 REQUEST_FEATURES mailbox
1812 * @mboxq: pointer to lpfc mbox command.
1813 *
1814 * This routine sets up the mailbox for an SLI4 REQUEST_FEATURES
1815 * mailbox command.
1816 **/
1817void
1818lpfc_request_features(struct lpfc_hba *phba, struct lpfcMboxq *mboxq)
1819{
1820 /* Set up SLI4 mailbox command header fields */
1821 memset(mboxq, 0, sizeof(LPFC_MBOXQ_t));
1822 bf_set(lpfc_mqe_command, &mboxq->u.mqe, MBX_SLI4_REQ_FTRS);
1823
1824 /* Set up host requested features. */
1825 bf_set(lpfc_mbx_rq_ftr_rq_fcpi, &mboxq->u.mqe.un.req_ftrs, 1);
1826
04c68496
JS
1827 /* Enable DIF (block guard) only if configured to do so. */
1828 if (phba->cfg_enable_bg)
1829 bf_set(lpfc_mbx_rq_ftr_rq_dif, &mboxq->u.mqe.un.req_ftrs, 1);
1830
1831 /* Enable NPIV only if configured to do so. */
1832 if (phba->max_vpi && phba->cfg_enable_npiv)
1833 bf_set(lpfc_mbx_rq_ftr_rq_npiv, &mboxq->u.mqe.un.req_ftrs, 1);
1834
1835 return;
1836}
1837
1838/**
1839 * lpfc_init_vfi - Initialize the INIT_VFI mailbox command
1840 * @mbox: pointer to lpfc mbox command to initialize.
1841 * @vport: Vport associated with the VF.
1842 *
1843 * This routine initializes @mbox to all zeros and then fills in the mailbox
1844 * fields from @vport. INIT_VFI configures virtual fabrics identified by VFI
1845 * in the context of an FCF. The driver issues this command to setup a VFI
1846 * before issuing a FLOGI to login to the VSAN. The driver should also issue a
1847 * REG_VFI after a successful VSAN login.
1848 **/
1849void
1850lpfc_init_vfi(struct lpfcMboxq *mbox, struct lpfc_vport *vport)
1851{
1852 struct lpfc_mbx_init_vfi *init_vfi;
1853
1854 memset(mbox, 0, sizeof(*mbox));
1855 init_vfi = &mbox->u.mqe.un.init_vfi;
1856 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_INIT_VFI);
1857 bf_set(lpfc_init_vfi_vr, init_vfi, 1);
1858 bf_set(lpfc_init_vfi_vt, init_vfi, 1);
1859 bf_set(lpfc_init_vfi_vfi, init_vfi, vport->vfi + vport->phba->vfi_base);
1860 bf_set(lpfc_init_vfi_fcfi, init_vfi, vport->phba->fcf.fcfi);
1861}
1862
1863/**
1864 * lpfc_reg_vfi - Initialize the REG_VFI mailbox command
1865 * @mbox: pointer to lpfc mbox command to initialize.
1866 * @vport: vport associated with the VF.
1867 * @phys: BDE DMA bus address used to send the service parameters to the HBA.
1868 *
1869 * This routine initializes @mbox to all zeros and then fills in the mailbox
1870 * fields from @vport, and uses @buf as a DMAable buffer to send the vport's
1871 * fc service parameters to the HBA for this VFI. REG_VFI configures virtual
1872 * fabrics identified by VFI in the context of an FCF.
1873 **/
1874void
1875lpfc_reg_vfi(struct lpfcMboxq *mbox, struct lpfc_vport *vport, dma_addr_t phys)
1876{
1877 struct lpfc_mbx_reg_vfi *reg_vfi;
1878
1879 memset(mbox, 0, sizeof(*mbox));
1880 reg_vfi = &mbox->u.mqe.un.reg_vfi;
1881 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_REG_VFI);
1882 bf_set(lpfc_reg_vfi_vp, reg_vfi, 1);
1883 bf_set(lpfc_reg_vfi_vfi, reg_vfi, vport->vfi + vport->phba->vfi_base);
1884 bf_set(lpfc_reg_vfi_fcfi, reg_vfi, vport->phba->fcf.fcfi);
1885 bf_set(lpfc_reg_vfi_vpi, reg_vfi, vport->vpi + vport->phba->vpi_base);
c868595d
JS
1886 memcpy(reg_vfi->wwn, &vport->fc_portname, sizeof(struct lpfc_name));
1887 reg_vfi->wwn[0] = cpu_to_le32(reg_vfi->wwn[0]);
1888 reg_vfi->wwn[1] = cpu_to_le32(reg_vfi->wwn[1]);
04c68496
JS
1889 reg_vfi->bde.addrHigh = putPaddrHigh(phys);
1890 reg_vfi->bde.addrLow = putPaddrLow(phys);
1891 reg_vfi->bde.tus.f.bdeSize = sizeof(vport->fc_sparam);
1892 reg_vfi->bde.tus.f.bdeFlags = BUFF_TYPE_BDE_64;
1893 bf_set(lpfc_reg_vfi_nport_id, reg_vfi, vport->fc_myDID);
1894}
1895
1896/**
1897 * lpfc_init_vpi - Initialize the INIT_VPI mailbox command
1c6834a7 1898 * @phba: pointer to the hba structure to init the VPI for.
04c68496
JS
1899 * @mbox: pointer to lpfc mbox command to initialize.
1900 * @vpi: VPI to be initialized.
1901 *
1902 * The INIT_VPI mailbox command supports virtual N_Ports. The driver uses the
1903 * command to activate a virtual N_Port. The HBA assigns a MAC address to use
1904 * with the virtual N Port. The SLI Host issues this command before issuing a
1905 * FDISC to connect to the Fabric. The SLI Host should issue a REG_VPI after a
1906 * successful virtual NPort login.
1907 **/
1908void
1c6834a7 1909lpfc_init_vpi(struct lpfc_hba *phba, struct lpfcMboxq *mbox, uint16_t vpi)
04c68496
JS
1910{
1911 memset(mbox, 0, sizeof(*mbox));
1912 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_INIT_VPI);
1c6834a7
JS
1913 bf_set(lpfc_init_vpi_vpi, &mbox->u.mqe.un.init_vpi,
1914 vpi + phba->vpi_base);
1915 bf_set(lpfc_init_vpi_vfi, &mbox->u.mqe.un.init_vpi,
1916 phba->pport->vfi + phba->vfi_base);
04c68496
JS
1917}
1918
1919/**
1920 * lpfc_unreg_vfi - Initialize the UNREG_VFI mailbox command
1921 * @mbox: pointer to lpfc mbox command to initialize.
6669f9bb 1922 * @vport: vport associated with the VF.
04c68496
JS
1923 *
1924 * The UNREG_VFI mailbox command causes the SLI Host to put a virtual fabric
1925 * (logical NPort) into the inactive state. The SLI Host must have logged out
1926 * and unregistered all remote N_Ports to abort any activity on the virtual
1927 * fabric. The SLI Port posts the mailbox response after marking the virtual
1928 * fabric inactive.
1929 **/
1930void
6669f9bb 1931lpfc_unreg_vfi(struct lpfcMboxq *mbox, struct lpfc_vport *vport)
04c68496
JS
1932{
1933 memset(mbox, 0, sizeof(*mbox));
1934 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_UNREG_VFI);
6669f9bb
JS
1935 bf_set(lpfc_unreg_vfi_vfi, &mbox->u.mqe.un.unreg_vfi,
1936 vport->vfi + vport->phba->vfi_base);
04c68496
JS
1937}
1938
1939/**
1940 * lpfc_dump_fcoe_param - Dump config region 23 to get FCoe parameters.
1941 * @phba: pointer to the hba structure containing.
1942 * @mbox: pointer to lpfc mbox command to initialize.
1943 *
1944 * This function create a SLI4 dump mailbox command to dump FCoE
1945 * parameters stored in region 23.
1946 **/
1947int
1948lpfc_dump_fcoe_param(struct lpfc_hba *phba,
1949 struct lpfcMboxq *mbox)
1950{
1951 struct lpfc_dmabuf *mp = NULL;
1952 MAILBOX_t *mb;
1953
1954 memset(mbox, 0, sizeof(*mbox));
1955 mb = &mbox->u.mb;
1956
1957 mp = kmalloc(sizeof(struct lpfc_dmabuf), GFP_KERNEL);
1958 if (mp)
1959 mp->virt = lpfc_mbuf_alloc(phba, 0, &mp->phys);
1960
1961 if (!mp || !mp->virt) {
1962 kfree(mp);
1963 /* dump_fcoe_param failed to allocate memory */
1964 lpfc_printf_log(phba, KERN_WARNING, LOG_MBOX,
1965 "2569 lpfc_dump_fcoe_param: memory"
e4e74273 1966 " allocation failed\n");
04c68496
JS
1967 return 1;
1968 }
1969
1970 memset(mp->virt, 0, LPFC_BPL_SIZE);
1971 INIT_LIST_HEAD(&mp->list);
1972
1973 /* save address for completion */
1974 mbox->context1 = (uint8_t *) mp;
1975
1976 mb->mbxCommand = MBX_DUMP_MEMORY;
1977 mb->un.varDmp.type = DMP_NV_PARAMS;
a0c87cbd
JS
1978 mb->un.varDmp.region_id = DMP_REGION_23;
1979 mb->un.varDmp.sli4_length = DMP_RGN23_SIZE;
04c68496
JS
1980 mb->un.varWords[3] = putPaddrLow(mp->phys);
1981 mb->un.varWords[4] = putPaddrHigh(mp->phys);
1982 return 0;
1983}
1984
1985/**
1986 * lpfc_reg_fcfi - Initialize the REG_FCFI mailbox command
1987 * @phba: pointer to the hba structure containing the FCF index and RQ ID.
1988 * @mbox: pointer to lpfc mbox command to initialize.
1989 *
1990 * The REG_FCFI mailbox command supports Fibre Channel Forwarders (FCFs). The
1991 * SLI Host uses the command to activate an FCF after it has acquired FCF
1992 * information via a READ_FCF mailbox command. This mailbox command also is used
1993 * to indicate where received unsolicited frames from this FCF will be sent. By
1994 * default this routine will set up the FCF to forward all unsolicited frames
1995 * the the RQ ID passed in the @phba. This can be overridden by the caller for
1996 * more complicated setups.
1997 **/
1998void
1999lpfc_reg_fcfi(struct lpfc_hba *phba, struct lpfcMboxq *mbox)
2000{
2001 struct lpfc_mbx_reg_fcfi *reg_fcfi;
2002
2003 memset(mbox, 0, sizeof(*mbox));
2004 reg_fcfi = &mbox->u.mqe.un.reg_fcfi;
2005 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_REG_FCFI);
2006 bf_set(lpfc_reg_fcfi_rq_id0, reg_fcfi, phba->sli4_hba.hdr_rq->queue_id);
2007 bf_set(lpfc_reg_fcfi_rq_id1, reg_fcfi, REG_FCF_INVALID_QID);
2008 bf_set(lpfc_reg_fcfi_rq_id2, reg_fcfi, REG_FCF_INVALID_QID);
2009 bf_set(lpfc_reg_fcfi_rq_id3, reg_fcfi, REG_FCF_INVALID_QID);
ecfd03c6
JS
2010 bf_set(lpfc_reg_fcfi_info_index, reg_fcfi,
2011 phba->fcf.current_rec.fcf_indx);
04c68496 2012 /* reg_fcf addr mode is bit wise inverted value of fcf addr_mode */
ecfd03c6
JS
2013 bf_set(lpfc_reg_fcfi_mam, reg_fcfi, (~phba->fcf.addr_mode) & 0x3);
2014 if (phba->fcf.current_rec.vlan_id != 0xFFFF) {
04c68496 2015 bf_set(lpfc_reg_fcfi_vv, reg_fcfi, 1);
ecfd03c6
JS
2016 bf_set(lpfc_reg_fcfi_vlan_tag, reg_fcfi,
2017 phba->fcf.current_rec.vlan_id);
04c68496
JS
2018 }
2019}
2020
2021/**
2022 * lpfc_unreg_fcfi - Initialize the UNREG_FCFI mailbox command
2023 * @mbox: pointer to lpfc mbox command to initialize.
2024 * @fcfi: FCFI to be unregistered.
2025 *
2026 * The UNREG_FCFI mailbox command supports Fibre Channel Forwarders (FCFs).
2027 * The SLI Host uses the command to inactivate an FCFI.
2028 **/
2029void
2030lpfc_unreg_fcfi(struct lpfcMboxq *mbox, uint16_t fcfi)
2031{
2032 memset(mbox, 0, sizeof(*mbox));
2033 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_UNREG_FCFI);
2034 bf_set(lpfc_unreg_fcfi, &mbox->u.mqe.un.unreg_fcfi, fcfi);
2035}
2036
2037/**
2038 * lpfc_resume_rpi - Initialize the RESUME_RPI mailbox command
2039 * @mbox: pointer to lpfc mbox command to initialize.
2040 * @ndlp: The nodelist structure that describes the RPI to resume.
2041 *
2042 * The RESUME_RPI mailbox command is used to restart I/O to an RPI after a
2043 * link event.
2044 **/
2045void
2046lpfc_resume_rpi(struct lpfcMboxq *mbox, struct lpfc_nodelist *ndlp)
2047{
2048 struct lpfc_mbx_resume_rpi *resume_rpi;
2049
2050 memset(mbox, 0, sizeof(*mbox));
2051 resume_rpi = &mbox->u.mqe.un.resume_rpi;
2052 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_RESUME_RPI);
8fa38513
JS
2053 bf_set(lpfc_resume_rpi_index, resume_rpi, ndlp->nlp_rpi);
2054 bf_set(lpfc_resume_rpi_ii, resume_rpi, RESUME_INDEX_RPI);
2055 resume_rpi->event_tag = ndlp->phba->fc_eventTag;
04c68496 2056}
28baac74
JS
2057
2058/**
2059 * lpfc_supported_pages - Initialize the PORT_CAPABILITIES supported pages
2060 * mailbox command.
2061 * @mbox: pointer to lpfc mbox command to initialize.
2062 *
2063 * The PORT_CAPABILITIES supported pages mailbox command is issued to
2064 * retrieve the particular feature pages supported by the port.
2065 **/
2066void
2067lpfc_supported_pages(struct lpfcMboxq *mbox)
2068{
2069 struct lpfc_mbx_supp_pages *supp_pages;
2070
2071 memset(mbox, 0, sizeof(*mbox));
2072 supp_pages = &mbox->u.mqe.un.supp_pages;
2073 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_PORT_CAPABILITIES);
2074 bf_set(cpn, supp_pages, LPFC_SUPP_PAGES);
2075}
2076
2077/**
2078 * lpfc_sli4_params - Initialize the PORT_CAPABILITIES SLI4 Params
2079 * mailbox command.
2080 * @mbox: pointer to lpfc mbox command to initialize.
2081 *
2082 * The PORT_CAPABILITIES SLI4 parameters mailbox command is issued to
2083 * retrieve the particular SLI4 features supported by the port.
2084 **/
2085void
2086lpfc_sli4_params(struct lpfcMboxq *mbox)
2087{
2088 struct lpfc_mbx_sli4_params *sli4_params;
2089
2090 memset(mbox, 0, sizeof(*mbox));
2091 sli4_params = &mbox->u.mqe.un.sli4_params;
2092 bf_set(lpfc_mqe_command, &mbox->u.mqe, MBX_PORT_CAPABILITIES);
2093 bf_set(cpn, sli4_params, LPFC_SLI4_PARAMETERS);
2094}