signal: rename signandsets() to sigandnsets()