Merge branch 'master' into next
[GitHub/mt8127/android_kernel_alcatel_ttab.git] / arch / mips / mti-malta / malta-int.c
CommitLineData
1da177e4
LT
1/*
2 * Carsten Langgaard, carstenl@mips.com
3 * Copyright (C) 2000, 2001, 2004 MIPS Technologies, Inc.
4 * Copyright (C) 2001 Ralf Baechle
5 *
6 * This program is free software; you can distribute it and/or modify it
7 * under the terms of the GNU General Public License (Version 2) as
8 * published by the Free Software Foundation.
9 *
10 * This program is distributed in the hope it will be useful, but WITHOUT
11 * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
12 * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
13 * for more details.
14 *
15 * You should have received a copy of the GNU General Public License along
16 * with this program; if not, write to the Free Software Foundation, Inc.,
17 * 59 Temple Place - Suite 330, Boston MA 02111-1307, USA.
18 *
19 * Routines for generic manipulation of the interrupts found on the MIPS
20 * Malta board.
21 * The interrupt controller is located in the South Bridge a PIIX4 device
22 * with two internal 82C95 interrupt controllers.
23 */
24#include <linux/init.h>
25#include <linux/irq.h>
26#include <linux/sched.h>
631330f5 27#include <linux/smp.h>
1da177e4 28#include <linux/interrupt.h>
54bf038e 29#include <linux/io.h>
1da177e4 30#include <linux/kernel_stat.h>
25b8ac3b 31#include <linux/kernel.h>
1da177e4
LT
32#include <linux/random.h>
33
39b8d525 34#include <asm/traps.h>
1da177e4 35#include <asm/i8259.h>
e01402b1 36#include <asm/irq_cpu.h>
ba38cdf9 37#include <asm/irq_regs.h>
1da177e4
LT
38#include <asm/mips-boards/malta.h>
39#include <asm/mips-boards/maltaint.h>
40#include <asm/mips-boards/piix4.h>
41#include <asm/gt64120.h>
42#include <asm/mips-boards/generic.h>
43#include <asm/mips-boards/msc01_pci.h>
e01402b1 44#include <asm/msc01_ic.h>
39b8d525
RB
45#include <asm/gic.h>
46#include <asm/gcmpregs.h>
47
48int gcmp_present = -1;
49int gic_present;
50static unsigned long _msc01_biu_base;
51static unsigned long _gcmp_base;
52static unsigned int ipi_map[NR_CPUS];
1da177e4 53
a963dc70 54static DEFINE_RAW_SPINLOCK(mips_irq_lock);
1da177e4
LT
55
56static inline int mips_pcibios_iack(void)
57{
58 int irq;
1da177e4
LT
59
60 /*
61 * Determine highest priority pending interrupt by performing
62 * a PCI Interrupt Acknowledge cycle.
63 */
b72c0526
CD
64 switch (mips_revision_sconid) {
65 case MIPS_REVISION_SCON_SOCIT:
66 case MIPS_REVISION_SCON_ROCIT:
67 case MIPS_REVISION_SCON_SOCITSC:
68 case MIPS_REVISION_SCON_SOCITSCP:
af825586 69 MSC_READ(MSC01_PCI_IACK, irq);
1da177e4
LT
70 irq &= 0xff;
71 break;
b72c0526 72 case MIPS_REVISION_SCON_GT64120:
1da177e4
LT
73 irq = GT_READ(GT_PCI0_IACK_OFS);
74 irq &= 0xff;
75 break;
b72c0526 76 case MIPS_REVISION_SCON_BONITO:
1da177e4
LT
77 /* The following will generate a PCI IACK cycle on the
78 * Bonito controller. It's a little bit kludgy, but it
79 * was the easiest way to implement it in hardware at
80 * the given time.
81 */
82 BONITO_PCIMAP_CFG = 0x20000;
83
84 /* Flush Bonito register block */
6be63bbb 85 (void) BONITO_PCIMAP_CFG;
1da177e4
LT
86 iob(); /* sync */
87
accfd35a 88 irq = __raw_readl((u32 *)_pcictrl_bonito_pcicfg);
1da177e4
LT
89 iob(); /* sync */
90 irq &= 0xff;
91 BONITO_PCIMAP_CFG = 0;
92 break;
93 default:
8216d348 94 printk(KERN_WARNING "Unknown system controller.\n");
1da177e4
LT
95 return -1;
96 }
97 return irq;
98}
99
e01402b1 100static inline int get_int(void)
1da177e4
LT
101{
102 unsigned long flags;
e01402b1 103 int irq;
a963dc70 104 raw_spin_lock_irqsave(&mips_irq_lock, flags);
1da177e4 105
e01402b1 106 irq = mips_pcibios_iack();
1da177e4
LT
107
108 /*
479a0e3e
RB
109 * The only way we can decide if an interrupt is spurious
110 * is by checking the 8259 registers. This needs a spinlock
111 * on an SMP system, so leave it up to the generic code...
1da177e4 112 */
1da177e4 113
a963dc70 114 raw_spin_unlock_irqrestore(&mips_irq_lock, flags);
1da177e4 115
e01402b1 116 return irq;
1da177e4
LT
117}
118
937a8015 119static void malta_hw0_irqdispatch(void)
1da177e4
LT
120{
121 int irq;
122
e01402b1 123 irq = get_int();
41c594ab 124 if (irq < 0) {
cd80d548
DV
125 /* interrupt has already been cleared */
126 return;
41c594ab 127 }
1da177e4 128
937a8015 129 do_IRQ(MALTA_INT_BASE + irq);
1da177e4
LT
130}
131
39b8d525
RB
132static void malta_ipi_irqdispatch(void)
133{
134 int irq;
135
136 irq = gic_get_int();
137 if (irq < 0)
138 return; /* interrupt has already been cleared */
139
140 do_IRQ(MIPS_GIC_IRQ_BASE + irq);
141}
142
937a8015 143static void corehi_irqdispatch(void)
1da177e4 144{
937a8015 145 unsigned int intedge, intsteer, pcicmd, pcibadaddr;
af825586 146 unsigned int pcimstat, intisr, inten, intpol;
21a151d8 147 unsigned int intrcause, datalo, datahi;
ba38cdf9 148 struct pt_regs *regs = get_irq_regs();
1da177e4 149
8216d348
DV
150 printk(KERN_EMERG "CoreHI interrupt, shouldn't happen, we die here!\n");
151 printk(KERN_EMERG "epc : %08lx\nStatus: %08lx\n"
af825586
DV
152 "Cause : %08lx\nbadVaddr : %08lx\n",
153 regs->cp0_epc, regs->cp0_status,
154 regs->cp0_cause, regs->cp0_badvaddr);
e01402b1
RB
155
156 /* Read all the registers and then print them as there is a
157 problem with interspersed printk's upsetting the Bonito controller.
158 Do it for the others too.
159 */
160
b72c0526 161 switch (mips_revision_sconid) {
af825586 162 case MIPS_REVISION_SCON_SOCIT:
b72c0526
CD
163 case MIPS_REVISION_SCON_ROCIT:
164 case MIPS_REVISION_SCON_SOCITSC:
165 case MIPS_REVISION_SCON_SOCITSCP:
af825586
DV
166 ll_msc_irq();
167 break;
168 case MIPS_REVISION_SCON_GT64120:
169 intrcause = GT_READ(GT_INTRCAUSE_OFS);
170 datalo = GT_READ(GT_CPUERR_ADDRLO_OFS);
171 datahi = GT_READ(GT_CPUERR_ADDRHI_OFS);
8216d348
DV
172 printk(KERN_EMERG "GT_INTRCAUSE = %08x\n", intrcause);
173 printk(KERN_EMERG "GT_CPUERR_ADDR = %02x%08x\n",
174 datahi, datalo);
af825586
DV
175 break;
176 case MIPS_REVISION_SCON_BONITO:
177 pcibadaddr = BONITO_PCIBADADDR;
178 pcimstat = BONITO_PCIMSTAT;
179 intisr = BONITO_INTISR;
180 inten = BONITO_INTEN;
181 intpol = BONITO_INTPOL;
182 intedge = BONITO_INTEDGE;
183 intsteer = BONITO_INTSTEER;
184 pcicmd = BONITO_PCICMD;
8216d348
DV
185 printk(KERN_EMERG "BONITO_INTISR = %08x\n", intisr);
186 printk(KERN_EMERG "BONITO_INTEN = %08x\n", inten);
187 printk(KERN_EMERG "BONITO_INTPOL = %08x\n", intpol);
188 printk(KERN_EMERG "BONITO_INTEDGE = %08x\n", intedge);
189 printk(KERN_EMERG "BONITO_INTSTEER = %08x\n", intsteer);
190 printk(KERN_EMERG "BONITO_PCICMD = %08x\n", pcicmd);
191 printk(KERN_EMERG "BONITO_PCIBADADDR = %08x\n", pcibadaddr);
192 printk(KERN_EMERG "BONITO_PCIMSTAT = %08x\n", pcimstat);
af825586
DV
193 break;
194 }
1da177e4 195
af825586 196 die("CoreHi interrupt", regs);
1da177e4
LT
197}
198
e4ac58af
RB
199static inline int clz(unsigned long x)
200{
49a89efb 201 __asm__(
e4ac58af
RB
202 " .set push \n"
203 " .set mips32 \n"
204 " clz %0, %1 \n"
205 " .set pop \n"
206 : "=r" (x)
207 : "r" (x));
208
209 return x;
210}
211
212/*
213 * Version of ffs that only looks at bits 12..15.
214 */
215static inline unsigned int irq_ffs(unsigned int pending)
216{
217#if defined(CONFIG_CPU_MIPS32) || defined(CONFIG_CPU_MIPS64)
218 return -clz(pending) + 31 - CAUSEB_IP;
219#else
220 unsigned int a0 = 7;
221 unsigned int t0;
222
0118c3ca 223 t0 = pending & 0xf000;
e4ac58af
RB
224 t0 = t0 < 1;
225 t0 = t0 << 2;
226 a0 = a0 - t0;
0118c3ca 227 pending = pending << t0;
e4ac58af 228
0118c3ca 229 t0 = pending & 0xc000;
e4ac58af
RB
230 t0 = t0 < 1;
231 t0 = t0 << 1;
232 a0 = a0 - t0;
0118c3ca 233 pending = pending << t0;
e4ac58af 234
0118c3ca 235 t0 = pending & 0x8000;
e4ac58af 236 t0 = t0 < 1;
ae9cef0b 237 /* t0 = t0 << 2; */
e4ac58af 238 a0 = a0 - t0;
ae9cef0b 239 /* pending = pending << t0; */
e4ac58af
RB
240
241 return a0;
242#endif
243}
244
245/*
246 * IRQs on the Malta board look basically (barring software IRQs which we
247 * don't use at all and all external interrupt sources are combined together
248 * on hardware interrupt 0 (MIPS IRQ 2)) like:
249 *
250 * MIPS IRQ Source
251 * -------- ------
252 * 0 Software (ignored)
253 * 1 Software (ignored)
254 * 2 Combined hardware interrupt (hw0)
255 * 3 Hardware (ignored)
256 * 4 Hardware (ignored)
257 * 5 Hardware (ignored)
258 * 6 Hardware (ignored)
259 * 7 R4k timer (what we use)
260 *
261 * We handle the IRQ according to _our_ priority which is:
262 *
263 * Highest ---- R4k Timer
264 * Lowest ---- Combined hardware interrupt
265 *
266 * then we just return, if multiple IRQs are pending then we will just take
267 * another exception, big deal.
268 */
269
937a8015 270asmlinkage void plat_irq_dispatch(void)
e4ac58af
RB
271{
272 unsigned int pending = read_c0_cause() & read_c0_status() & ST0_IM;
273 int irq;
274
275 irq = irq_ffs(pending);
276
277 if (irq == MIPSCPU_INT_I8259A)
937a8015 278 malta_hw0_irqdispatch();
39b8d525
RB
279 else if (gic_present && ((1 << irq) & ipi_map[smp_processor_id()]))
280 malta_ipi_irqdispatch();
48d480b0 281 else if (irq >= 0)
3b1d4ed5 282 do_IRQ(MIPS_CPU_IRQ_BASE + irq);
e4ac58af 283 else
937a8015 284 spurious_interrupt();
e4ac58af
RB
285}
286
39b8d525
RB
287#ifdef CONFIG_MIPS_MT_SMP
288
289
290#define GIC_MIPS_CPU_IPI_RESCHED_IRQ 3
291#define GIC_MIPS_CPU_IPI_CALL_IRQ 4
292
293#define MIPS_CPU_IPI_RESCHED_IRQ 0 /* SW int 0 for resched */
294#define C_RESCHED C_SW0
295#define MIPS_CPU_IPI_CALL_IRQ 1 /* SW int 1 for resched */
296#define C_CALL C_SW1
297static int cpu_ipi_resched_irq, cpu_ipi_call_irq;
298
299static void ipi_resched_dispatch(void)
300{
301 do_IRQ(MIPS_CPU_IRQ_BASE + MIPS_CPU_IPI_RESCHED_IRQ);
302}
303
304static void ipi_call_dispatch(void)
305{
306 do_IRQ(MIPS_CPU_IRQ_BASE + MIPS_CPU_IPI_CALL_IRQ);
307}
308
309static irqreturn_t ipi_resched_interrupt(int irq, void *dev_id)
310{
311 return IRQ_HANDLED;
312}
313
314static irqreturn_t ipi_call_interrupt(int irq, void *dev_id)
315{
316 smp_call_function_interrupt();
317
318 return IRQ_HANDLED;
319}
320
321static struct irqaction irq_resched = {
322 .handler = ipi_resched_interrupt,
323 .flags = IRQF_DISABLED|IRQF_PERCPU,
324 .name = "IPI_resched"
325};
326
327static struct irqaction irq_call = {
328 .handler = ipi_call_interrupt,
329 .flags = IRQF_DISABLED|IRQF_PERCPU,
330 .name = "IPI_call"
331};
008ee96f 332#endif /* CONFIG_MIPS_MT_SMP */
a214cef9
TA
333
334static int gic_resched_int_base;
335static int gic_call_int_base;
336#define GIC_RESCHED_INT(cpu) (gic_resched_int_base+(cpu))
337#define GIC_CALL_INT(cpu) (gic_call_int_base+(cpu))
0365070f
TA
338
339unsigned int plat_ipi_call_int_xlate(unsigned int cpu)
340{
341 return GIC_CALL_INT(cpu);
342}
343
344unsigned int plat_ipi_resched_int_xlate(unsigned int cpu)
345{
346 return GIC_RESCHED_INT(cpu);
347}
39b8d525 348
e01402b1
RB
349static struct irqaction i8259irq = {
350 .handler = no_action,
351 .name = "XT-PIC cascade"
352};
353
354static struct irqaction corehi_irqaction = {
355 .handler = no_action,
356 .name = "CoreHi"
357};
358
b57c1913 359static msc_irqmap_t __initdata msc_irqmap[] = {
e01402b1
RB
360 {MSC01C_INT_TMR, MSC01_IRQ_EDGE, 0},
361 {MSC01C_INT_PCI, MSC01_IRQ_LEVEL, 0},
362};
b57c1913 363static int __initdata msc_nr_irqs = ARRAY_SIZE(msc_irqmap);
e01402b1 364
b57c1913 365static msc_irqmap_t __initdata msc_eicirqmap[] = {
e01402b1
RB
366 {MSC01E_INT_SW0, MSC01_IRQ_LEVEL, 0},
367 {MSC01E_INT_SW1, MSC01_IRQ_LEVEL, 0},
368 {MSC01E_INT_I8259A, MSC01_IRQ_LEVEL, 0},
369 {MSC01E_INT_SMI, MSC01_IRQ_LEVEL, 0},
370 {MSC01E_INT_COREHI, MSC01_IRQ_LEVEL, 0},
371 {MSC01E_INT_CORELO, MSC01_IRQ_LEVEL, 0},
372 {MSC01E_INT_TMR, MSC01_IRQ_EDGE, 0},
373 {MSC01E_INT_PCI, MSC01_IRQ_LEVEL, 0},
374 {MSC01E_INT_PERFCTR, MSC01_IRQ_LEVEL, 0},
375 {MSC01E_INT_CPUCTR, MSC01_IRQ_LEVEL, 0}
376};
39b8d525 377
b57c1913 378static int __initdata msc_nr_eicirqs = ARRAY_SIZE(msc_eicirqmap);
e01402b1 379
39b8d525
RB
380/*
381 * This GIC specific tabular array defines the association between External
382 * Interrupts and CPUs/Core Interrupts. The nature of the External
383 * Interrupts is also defined here - polarity/trigger.
384 */
7098f748
CD
385
386#define GIC_CPU_NMI GIC_MAP_TO_NMI_MSK
863cb9ba
RB
387#define X GIC_UNUSED
388
a214cef9 389static struct gic_intr_map gic_intr_map[GIC_NUM_INTRS] = {
7098f748
CD
390 { X, X, X, X, 0 },
391 { X, X, X, X, 0 },
392 { X, X, X, X, 0 },
393 { 0, GIC_CPU_INT0, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
394 { 0, GIC_CPU_INT1, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
395 { 0, GIC_CPU_INT2, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
396 { 0, GIC_CPU_INT3, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
397 { 0, GIC_CPU_INT4, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
398 { 0, GIC_CPU_INT3, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
399 { 0, GIC_CPU_INT3, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
400 { X, X, X, X, 0 },
401 { X, X, X, X, 0 },
402 { 0, GIC_CPU_INT3, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
403 { 0, GIC_CPU_NMI, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
404 { 0, GIC_CPU_NMI, GIC_POL_POS, GIC_TRIG_LEVEL, GIC_FLAG_TRANSPARENT },
405 { X, X, X, X, 0 },
406 /* The remainder of this table is initialised by fill_ipi_map */
39b8d525 407};
863cb9ba 408#undef X
39b8d525
RB
409
410/*
411 * GCMP needs to be detected before any SMP initialisation
412 */
47b178bb 413int __init gcmp_probe(unsigned long addr, unsigned long size)
39b8d525 414{
05cf2079
JP
415 if (mips_revision_sconid != MIPS_REVISION_SCON_ROCIT) {
416 gcmp_present = 0;
417 return gcmp_present;
418 }
419
39b8d525
RB
420 if (gcmp_present >= 0)
421 return gcmp_present;
422
423 _gcmp_base = (unsigned long) ioremap_nocache(GCMP_BASE_ADDR, GCMP_ADDRSPACE_SZ);
424 _msc01_biu_base = (unsigned long) ioremap_nocache(MSC01_BIU_REG_BASE, MSC01_BIU_ADDRSPACE_SZ);
425 gcmp_present = (GCMPGCB(GCMPB) & GCMP_GCB_GCMPB_GCMPBASE_MSK) == GCMP_BASE_ADDR;
426
427 if (gcmp_present)
7098f748 428 pr_debug("GCMP present\n");
39b8d525
RB
429 return gcmp_present;
430}
431
7098f748
CD
432/* Return the number of IOCU's present */
433int __init gcmp_niocu(void)
434{
435 return gcmp_present ?
436 (GCMPGCB(GC) & GCMP_GCB_GC_NUMIOCU_MSK) >> GCMP_GCB_GC_NUMIOCU_SHF :
437 0;
438}
439
440/* Set GCMP region attributes */
441void __init gcmp_setregion(int region, unsigned long base,
442 unsigned long mask, int type)
443{
444 GCMPGCBn(CMxBASE, region) = base;
445 GCMPGCBn(CMxMASK, region) = mask | type;
446}
447
7afed6a6 448#if defined(CONFIG_MIPS_MT_SMP)
a214cef9
TA
449static void __init fill_ipi_map1(int baseintr, int cpu, int cpupin)
450{
451 int intr = baseintr + cpu;
a214cef9
TA
452 gic_intr_map[intr].cpunum = cpu;
453 gic_intr_map[intr].pin = cpupin;
454 gic_intr_map[intr].polarity = GIC_POL_POS;
455 gic_intr_map[intr].trigtype = GIC_TRIG_EDGE;
7098f748 456 gic_intr_map[intr].flags = GIC_FLAG_IPI;
a214cef9
TA
457 ipi_map[cpu] |= (1 << (cpupin + 2));
458}
459
7afed6a6 460static void __init fill_ipi_map(void)
39b8d525 461{
a214cef9 462 int cpu;
39b8d525 463
a214cef9
TA
464 for (cpu = 0; cpu < NR_CPUS; cpu++) {
465 fill_ipi_map1(gic_resched_int_base, cpu, GIC_CPU_INT1);
466 fill_ipi_map1(gic_call_int_base, cpu, GIC_CPU_INT2);
39b8d525
RB
467 }
468}
7afed6a6 469#endif
39b8d525 470
7098f748
CD
471void __init arch_init_ipiirq(int irq, struct irqaction *action)
472{
473 setup_irq(irq, action);
e4ec7989 474 irq_set_handler(irq, handle_percpu_irq);
7098f748
CD
475}
476
1da177e4
LT
477void __init arch_init_irq(void)
478{
1da177e4 479 init_i8259_irqs();
e01402b1
RB
480
481 if (!cpu_has_veic)
97dcb82d 482 mips_cpu_irq_init();
e01402b1 483
39b8d525
RB
484 if (gcmp_present) {
485 GCMPGCB(GICBA) = GIC_BASE_ADDR | GCMP_GCB_GICBA_EN_MSK;
486 gic_present = 1;
487 } else {
05cf2079
JP
488 if (mips_revision_sconid == MIPS_REVISION_SCON_ROCIT) {
489 _msc01_biu_base = (unsigned long)
490 ioremap_nocache(MSC01_BIU_REG_BASE,
491 MSC01_BIU_ADDRSPACE_SZ);
492 gic_present = (REG(_msc01_biu_base, MSC01_SC_CFG) &
493 MSC01_SC_CFG_GICPRES_MSK) >>
494 MSC01_SC_CFG_GICPRES_SHF;
495 }
39b8d525
RB
496 }
497 if (gic_present)
7098f748 498 pr_debug("GIC present\n");
39b8d525 499
af825586
DV
500 switch (mips_revision_sconid) {
501 case MIPS_REVISION_SCON_SOCIT:
502 case MIPS_REVISION_SCON_ROCIT:
d725cf38 503 if (cpu_has_veic)
f8071496
DV
504 init_msc_irqs(MIPS_MSC01_IC_REG_BASE,
505 MSC01E_INT_BASE, msc_eicirqmap,
506 msc_nr_eicirqs);
d725cf38 507 else
f8071496
DV
508 init_msc_irqs(MIPS_MSC01_IC_REG_BASE,
509 MSC01C_INT_BASE, msc_irqmap,
510 msc_nr_irqs);
d725cf38
CD
511 break;
512
af825586
DV
513 case MIPS_REVISION_SCON_SOCITSC:
514 case MIPS_REVISION_SCON_SOCITSCP:
e01402b1 515 if (cpu_has_veic)
f8071496
DV
516 init_msc_irqs(MIPS_SOCITSC_IC_REG_BASE,
517 MSC01E_INT_BASE, msc_eicirqmap,
518 msc_nr_eicirqs);
e01402b1 519 else
f8071496
DV
520 init_msc_irqs(MIPS_SOCITSC_IC_REG_BASE,
521 MSC01C_INT_BASE, msc_irqmap,
522 msc_nr_irqs);
e01402b1
RB
523 }
524
525 if (cpu_has_veic) {
49a89efb
RB
526 set_vi_handler(MSC01E_INT_I8259A, malta_hw0_irqdispatch);
527 set_vi_handler(MSC01E_INT_COREHI, corehi_irqdispatch);
528 setup_irq(MSC01E_INT_BASE+MSC01E_INT_I8259A, &i8259irq);
529 setup_irq(MSC01E_INT_BASE+MSC01E_INT_COREHI, &corehi_irqaction);
52b3fc04 530 } else if (cpu_has_vint) {
49a89efb
RB
531 set_vi_handler(MIPSCPU_INT_I8259A, malta_hw0_irqdispatch);
532 set_vi_handler(MIPSCPU_INT_COREHI, corehi_irqdispatch);
41c594ab 533#ifdef CONFIG_MIPS_MT_SMTC
49a89efb 534 setup_irq_smtc(MIPS_CPU_IRQ_BASE+MIPSCPU_INT_I8259A, &i8259irq,
41c594ab 535 (0x100 << MIPSCPU_INT_I8259A));
49a89efb 536 setup_irq_smtc(MIPS_CPU_IRQ_BASE+MIPSCPU_INT_COREHI,
41c594ab 537 &corehi_irqaction, (0x100 << MIPSCPU_INT_COREHI));
c3a005f4
KK
538 /*
539 * Temporary hack to ensure that the subsidiary device
540 * interrupts coing in via the i8259A, but associated
541 * with low IRQ numbers, will restore the Status.IM
542 * value associated with the i8259A.
543 */
544 {
545 int i;
546
547 for (i = 0; i < 16; i++)
548 irq_hwmask[i] = (0x100 << MIPSCPU_INT_I8259A);
549 }
41c594ab 550#else /* Not SMTC */
49a89efb 551 setup_irq(MIPS_CPU_IRQ_BASE+MIPSCPU_INT_I8259A, &i8259irq);
f8071496
DV
552 setup_irq(MIPS_CPU_IRQ_BASE+MIPSCPU_INT_COREHI,
553 &corehi_irqaction);
41c594ab 554#endif /* CONFIG_MIPS_MT_SMTC */
52b3fc04 555 } else {
49a89efb 556 setup_irq(MIPS_CPU_IRQ_BASE+MIPSCPU_INT_I8259A, &i8259irq);
f8071496
DV
557 setup_irq(MIPS_CPU_IRQ_BASE+MIPSCPU_INT_COREHI,
558 &corehi_irqaction);
e01402b1 559 }
39b8d525 560
39b8d525
RB
561 if (gic_present) {
562 /* FIXME */
563 int i;
7098f748 564#if defined(CONFIG_MIPS_MT_SMP)
a214cef9
TA
565 gic_call_int_base = GIC_NUM_INTRS - NR_CPUS;
566 gic_resched_int_base = gic_call_int_base - NR_CPUS;
39b8d525 567 fill_ipi_map();
7098f748
CD
568#endif
569 gic_init(GIC_BASE_ADDR, GIC_ADDRSPACE_SZ, gic_intr_map,
570 ARRAY_SIZE(gic_intr_map), MIPS_GIC_IRQ_BASE);
39b8d525
RB
571 if (!gcmp_present) {
572 /* Enable the GIC */
573 i = REG(_msc01_biu_base, MSC01_SC_CFG);
574 REG(_msc01_biu_base, MSC01_SC_CFG) =
575 (i | (0x1 << MSC01_SC_CFG_GICENA_SHF));
576 pr_debug("GIC Enabled\n");
577 }
7098f748 578#if defined(CONFIG_MIPS_MT_SMP)
39b8d525
RB
579 /* set up ipi interrupts */
580 if (cpu_has_vint) {
581 set_vi_handler(MIPSCPU_INT_IPI0, malta_ipi_irqdispatch);
582 set_vi_handler(MIPSCPU_INT_IPI1, malta_ipi_irqdispatch);
583 }
584 /* Argh.. this really needs sorting out.. */
585 printk("CPU%d: status register was %08x\n", smp_processor_id(), read_c0_status());
586 write_c0_status(read_c0_status() | STATUSF_IP3 | STATUSF_IP4);
587 printk("CPU%d: status register now %08x\n", smp_processor_id(), read_c0_status());
588 write_c0_status(0x1100dc00);
589 printk("CPU%d: status register frc %08x\n", smp_processor_id(), read_c0_status());
a214cef9 590 for (i = 0; i < NR_CPUS; i++) {
7098f748
CD
591 arch_init_ipiirq(MIPS_GIC_IRQ_BASE +
592 GIC_RESCHED_INT(i), &irq_resched);
593 arch_init_ipiirq(MIPS_GIC_IRQ_BASE +
594 GIC_CALL_INT(i), &irq_call);
39b8d525 595 }
7098f748 596#endif
39b8d525 597 } else {
7098f748 598#if defined(CONFIG_MIPS_MT_SMP)
39b8d525
RB
599 /* set up ipi interrupts */
600 if (cpu_has_veic) {
601 set_vi_handler (MSC01E_INT_SW0, ipi_resched_dispatch);
602 set_vi_handler (MSC01E_INT_SW1, ipi_call_dispatch);
603 cpu_ipi_resched_irq = MSC01E_INT_SW0;
604 cpu_ipi_call_irq = MSC01E_INT_SW1;
605 } else {
606 if (cpu_has_vint) {
607 set_vi_handler (MIPS_CPU_IPI_RESCHED_IRQ, ipi_resched_dispatch);
608 set_vi_handler (MIPS_CPU_IPI_CALL_IRQ, ipi_call_dispatch);
609 }
610 cpu_ipi_resched_irq = MIPS_CPU_IRQ_BASE + MIPS_CPU_IPI_RESCHED_IRQ;
611 cpu_ipi_call_irq = MIPS_CPU_IRQ_BASE + MIPS_CPU_IPI_CALL_IRQ;
612 }
7098f748
CD
613 arch_init_ipiirq(cpu_ipi_resched_irq, &irq_resched);
614 arch_init_ipiirq(cpu_ipi_call_irq, &irq_call);
39b8d525 615#endif
7098f748 616 }
39b8d525
RB
617}
618
619void malta_be_init(void)
620{
621 if (gcmp_present) {
622 /* Could change CM error mask register */
623 }
624}
625
626
627static char *tr[8] = {
628 "mem", "gcr", "gic", "mmio",
629 "0x04", "0x05", "0x06", "0x07"
630};
631
632static char *mcmd[32] = {
633 [0x00] = "0x00",
634 [0x01] = "Legacy Write",
635 [0x02] = "Legacy Read",
636 [0x03] = "0x03",
637 [0x04] = "0x04",
638 [0x05] = "0x05",
639 [0x06] = "0x06",
640 [0x07] = "0x07",
641 [0x08] = "Coherent Read Own",
642 [0x09] = "Coherent Read Share",
643 [0x0a] = "Coherent Read Discard",
644 [0x0b] = "Coherent Ready Share Always",
645 [0x0c] = "Coherent Upgrade",
646 [0x0d] = "Coherent Writeback",
647 [0x0e] = "0x0e",
648 [0x0f] = "0x0f",
649 [0x10] = "Coherent Copyback",
650 [0x11] = "Coherent Copyback Invalidate",
651 [0x12] = "Coherent Invalidate",
652 [0x13] = "Coherent Write Invalidate",
653 [0x14] = "Coherent Completion Sync",
654 [0x15] = "0x15",
655 [0x16] = "0x16",
656 [0x17] = "0x17",
657 [0x18] = "0x18",
658 [0x19] = "0x19",
659 [0x1a] = "0x1a",
660 [0x1b] = "0x1b",
661 [0x1c] = "0x1c",
662 [0x1d] = "0x1d",
663 [0x1e] = "0x1e",
664 [0x1f] = "0x1f"
665};
666
667static char *core[8] = {
668 "Invalid/OK", "Invalid/Data",
669 "Shared/OK", "Shared/Data",
670 "Modified/OK", "Modified/Data",
671 "Exclusive/OK", "Exclusive/Data"
672};
673
674static char *causes[32] = {
675 "None", "GC_WR_ERR", "GC_RD_ERR", "COH_WR_ERR",
676 "COH_RD_ERR", "MMIO_WR_ERR", "MMIO_RD_ERR", "0x07",
677 "0x08", "0x09", "0x0a", "0x0b",
678 "0x0c", "0x0d", "0x0e", "0x0f",
679 "0x10", "0x11", "0x12", "0x13",
680 "0x14", "0x15", "0x16", "INTVN_WR_ERR",
681 "INTVN_RD_ERR", "0x19", "0x1a", "0x1b",
682 "0x1c", "0x1d", "0x1e", "0x1f"
683};
684
685int malta_be_handler(struct pt_regs *regs, int is_fixup)
686{
687 /* This duplicates the handling in do_be which seems wrong */
688 int retval = is_fixup ? MIPS_BE_FIXUP : MIPS_BE_FATAL;
689
690 if (gcmp_present) {
691 unsigned long cm_error = GCMPGCB(GCMEC);
692 unsigned long cm_addr = GCMPGCB(GCMEA);
693 unsigned long cm_other = GCMPGCB(GCMEO);
694 unsigned long cause, ocause;
695 char buf[256];
696
697 cause = (cm_error & GCMP_GCB_GMEC_ERROR_TYPE_MSK);
698 if (cause != 0) {
699 cause >>= GCMP_GCB_GMEC_ERROR_TYPE_SHF;
700 if (cause < 16) {
701 unsigned long cca_bits = (cm_error >> 15) & 7;
702 unsigned long tr_bits = (cm_error >> 12) & 7;
703 unsigned long mcmd_bits = (cm_error >> 7) & 0x1f;
704 unsigned long stag_bits = (cm_error >> 3) & 15;
705 unsigned long sport_bits = (cm_error >> 0) & 7;
706
707 snprintf(buf, sizeof(buf),
708 "CCA=%lu TR=%s MCmd=%s STag=%lu "
709 "SPort=%lu\n",
710 cca_bits, tr[tr_bits], mcmd[mcmd_bits],
711 stag_bits, sport_bits);
712 } else {
713 /* glob state & sresp together */
714 unsigned long c3_bits = (cm_error >> 18) & 7;
715 unsigned long c2_bits = (cm_error >> 15) & 7;
716 unsigned long c1_bits = (cm_error >> 12) & 7;
717 unsigned long c0_bits = (cm_error >> 9) & 7;
718 unsigned long sc_bit = (cm_error >> 8) & 1;
719 unsigned long mcmd_bits = (cm_error >> 3) & 0x1f;
720 unsigned long sport_bits = (cm_error >> 0) & 7;
721 snprintf(buf, sizeof(buf),
722 "C3=%s C2=%s C1=%s C0=%s SC=%s "
723 "MCmd=%s SPort=%lu\n",
724 core[c3_bits], core[c2_bits],
725 core[c1_bits], core[c0_bits],
726 sc_bit ? "True" : "False",
727 mcmd[mcmd_bits], sport_bits);
728 }
729
730 ocause = (cm_other & GCMP_GCB_GMEO_ERROR_2ND_MSK) >>
731 GCMP_GCB_GMEO_ERROR_2ND_SHF;
732
733 printk("CM_ERROR=%08lx %s <%s>\n", cm_error,
734 causes[cause], buf);
735 printk("CM_ADDR =%08lx\n", cm_addr);
736 printk("CM_OTHER=%08lx %s\n", cm_other, causes[ocause]);
737
738 /* reprime cause register */
739 GCMPGCB(GCMEC) = 0;
740 }
741 }
742
743 return retval;
1da177e4 744}